Non-volatile 3D stacking RRAM-based FPGA

We demonstrates a novel Field-Programmable Gate Array (FPGA) structure based on Resistive Random Access Memory (RRAM) system. RRAM is a non-volatile memory device which is compatible to CMOS Back End of Line (BEOL) process with only 4F2 area per cell. We use a 1R system memory for logic element, Look-Up-Table (LUT), with three dimension stacking structure. The proposed 2R memory system is for routing elements, Switch Block (SB) and Connection Block (CB), with Complementary Resistive Switches (CRS) structure. Both three dimension stacking and CRS structure are crossbar-like structure to further improve density of the FPGA. The proposed design is different from modern FPGA with Static Random Access Memory (SRAM) system, RRAM-based FPGA has benefits of non-volatility, smaller area, and flexibility of configuration. A bit-addressable LUT is introduced with function of run-time programming memory cells of LUT, which is also known as Distributed Random Access Memory (D-RAM). Based on our simulation results, 62.7% of area reduction and 34% of delay improvement can be achieved compared to the conventional FPGA.

[1]  Steven J. E. Wilton,et al.  Architectures and algorithms for field-programmable gate arrays with embedded memory , 1997 .

[2]  P. Chow,et al.  The design of a SRAM-based field-programmable gate array-Part II: Circuit design and layout , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[3]  Guy Lemieux,et al.  Circuit design of routing switches , 2002, FPGA '02.

[4]  裕幸 飯田,et al.  International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .

[5]  Yu (Kevin) Cao,et al.  What is Predictive Technology Model (PTM)? , 2009, SIGD.

[6]  R. Dittmann,et al.  Redox‐Based Resistive Switching Memories – Nanoionic Mechanisms, Prospects, and Challenges , 2009, Advanced materials.

[7]  Rainer Waser,et al.  Complementary resistive switches for passive nanocrossbar memories. , 2010, Nature materials.

[8]  Kenneth B. Kent,et al.  Odin II - An Open-Source Verilog HDL Synthesis Tool for CAD Research , 2010, 2010 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines.

[9]  Byung Joon Choi,et al.  Purely Electronic Switching with High Uniformity, Resistance Tunability, and Good Retention in Pt‐Dispersed SiO2 Thin Films for ReRAM , 2011, Advanced materials.

[10]  S Mukhopadhyay,et al.  A Circuit and Architecture Codesign Approach for a Hybrid CMOS–STTRAM Nonvolatile FPGA , 2011, IEEE Transactions on Nanotechnology.

[11]  Jason Cong,et al.  mrFPGA: A novel FPGA architecture with memristor-based reconfiguration , 2011, 2011 IEEE/ACM International Symposium on Nanoscale Architectures.

[12]  Wei Wang,et al.  FPGA Based on Integration of CMOS and RRAM , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[13]  Wei Zhang,et al.  3D-HIM: A 3D High-density Interleaved Memory for bipolar RRAM design , 2011, 2011 IEEE/ACM International Symposium on Nanoscale Architectures.

[14]  Wei Zhang,et al.  A novel peripheral circuit for RRAM-based LUT , 2012, 2012 IEEE International Symposium on Circuits and Systems.

[15]  Wei Zhang,et al.  A Look Up Table design with 3D bipolar RRAMs , 2012, 17th Asia and South Pacific Design Automation Conference.

[16]  Abbas El Gamal,et al.  Nonvolatile 3D-FPGA with monolithically stacked RRAM-based configuration memory , 2012, 2012 IEEE International Solid-State Circuits Conference.