Scaling, power, and the future of CMOS

This paper briefly reviews the forces that caused the power problem, the solutions that were applied, and what the solutions tell us about the problem. As systems became more power constrained, optimizing the power became more critical; viewing power reduction from an optimization perspective provides valuable insights. Section III describes these insights in more detail, including why Vdd and Vth have stopped scaling. Section IV describes some of the low power techniques that have been used in the past in the context of the optimization framework. This framework also makes it easy to see the impact of variability, which is discussed in more detail in section V along with the adaptive mechanisms that have been proposed and deployed to minimize the energy cost. Section VI describes possible strategies for dealing with the slowdown in gate energy scaling, and the final section concludes by discussing the implications of these strategies for device designers

[1]  B. Nauta,et al.  A 3Gb/s/ch transceiver for RC-limited on-chip interconnects , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[2]  Y. Shimazaki,et al.  A shared-well dual-supply-voltage 64-bit ALU , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[3]  M.J.M. Pelgrom,et al.  Matching properties of MOS transistors , 1989 .

[4]  P. P. Gelsinger,et al.  Performance and microarchitecture of the i486 processor , 1989, Proceedings 1989 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[5]  Vivek De,et al.  Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[6]  A. Wang,et al.  Modeling and sizing for minimum energy operation in subthreshold circuits , 2005, IEEE Journal of Solid-State Circuits.

[7]  Anantha P. Chandrakasan,et al.  Low-power CMOS digital design , 1992 .

[8]  Pat Conway,et al.  The AMD Opteron Processor for Multiprocessor Servers , 2003, IEEE Micro.

[9]  Takayasu Sakurai,et al.  Optimization of V/sub DD/ and V/sub TH/ for low-power and high-speed applications , 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

[10]  J. Yetter,et al.  A 32-bit VLSI CPU with 15-MIPS peak performance , 1987 .

[11]  Philip N. Strenski,et al.  Gradient-based optimization of custom circuits using a static-timing formulation , 1999, DAC '99.

[12]  Takahiro Seki,et al.  Dynamic voltage and frequency management for a low-power embedded microprocessor , 2005, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[13]  S. Naffziger,et al.  A 90nm variable-frequency clock system for a power-managed Itanium/sup /spl reg//-family processor , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[14]  Vivek De,et al.  Effectiveness of reverse body bias for leakage control in scaled dual Vt CMOS ICs , 2001, ISLPED '01.

[15]  Kaushik Roy,et al.  Mixed-Vth (MVT) CMOS circuit design methodology for low power applications , 1999, DAC '99.

[16]  Trevor Mudge,et al.  A self-tuning DVS processor using delay-error detection and correction , 2005, VLSIC 2005.

[17]  S. Naffziger,et al.  Power and temperature control on a 90-nm Itanium family processor , 2006, IEEE Journal of Solid-State Circuits.

[18]  Philip N. Strenski,et al.  Uncertainty-aware circuit optimization , 2002, DAC '02.

[19]  David Blaauw,et al.  Energy Optimization of Subthreshold-Voltage Sensor Network Processors , 2005, ISCA 2005.

[20]  M. Horowitz,et al.  Efficient on-chip global interconnects , 2003, 2003 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.03CH37408).

[21]  Anantha Chandrakasan,et al.  Embedded power supply for low-power DSP , 1997, IEEE Trans. Very Large Scale Integr. Syst..

[22]  R.H. Dennard,et al.  Design Of Ion-implanted MOSFET's with Very Small Physical Dimensions , 1974, Proceedings of the IEEE.

[23]  Tadahiro Kuroda,et al.  Variable supply-voltage scheme for low-power high-speed CMOS digital design , 1998, IEEE J. Solid State Circuits.

[24]  Alvin Cheung,et al.  A new method for design of robust digital circuits , 2005, Sixth international symposium on quality electronic design (isqed'05).

[25]  S. Asano,et al.  The design and implementation of a first-generation CELL processor , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[26]  S. Borkar,et al.  Dynamic-sleep transistor and body bias for active leakage power control of microprocessors , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[27]  T. Chen,et al.  Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[28]  Bishop Brock,et al.  A 32-bit PowerPC system-on-a-chip with support for dynamic voltage scaling and dynamic frequency scaling , 2002, IEEE J. Solid State Circuits.

[29]  S. Naffziger,et al.  Power and temperature control on a 90nm Itanium/sup /spl reg//-family processor , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[30]  M. Horowitz,et al.  Clocking and circuit design for a parallel I/O on a first-generation CELL processor , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..