A Sub-0.3 V Area-Efficient L-Shaped 7T SRAM With Read Bitline Swing Expansion Schemes Based on Boosted Read-Bitline, Asymmetric-V$_{\rm TH}$ Read-Port, and Offset Cell VDD Biasing Techniques

In previous SRAM designs, reducing minimum operating voltage (VDDmin) inevitably resulted in devices with a large cell area (A). This work proposes an L-shaped 7T cell (L7T) and read-bitline (RBL) swing expansion scheme (RBL-EXPD) to minimize A*VDDmin for low-voltage applications. This L7T features an area-efficient cell layout and a read-disturb free decoupled 1T read port (RP) capable of providing a wide space for write margin improvement. The RBL-EXPD employs (1) boosted RBL (BRBL), (2) 1T-RP with asymmetric-VTH, (AV-1TRP) and (3) offset cell-VDD biasing (OFS-CVDD) to expand RBL swing in both the upward and downward directions securing both `High' and `Low' sensing margins. A 65 nm 256-row 32 Kb L7T SRAM macro-fabricated using BRBL and AVTH-RP achieved a 260 mV VDDmin. The resulting A*VDDmin is ~50% lower than that of conventional 8T SRAM devices.

[1]  Bharadwaj S. Amrutur,et al.  A 100MHz to 1GHz, 0.35V to 1.5V Supply 256 x 64 SRAM Block Using Symmetrized 9T SRAM Cell with Controlled Read , 2008, 21st International Conference on VLSI Design (VLSID 2008).

[2]  H. Yamauchi,et al.  A Stable 2-Port SRAM Cell Design Against Simultaneously Read/Write-Disturbed Accesses , 2008, IEEE Journal of Solid-State Circuits.

[3]  Meng-Fan Chang,et al.  A High-Speed 7.2-ns Read-Write Random Access 4-Mb Embedded Resistive RAM (ReRAM) Macro Using Process-Variation-Tolerant Current-Mode Read Schemes , 2013, IEEE Journal of Solid-State Circuits.

[4]  Masahiro Nomura,et al.  A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications , 2006, IEEE Journal of Solid-State Circuits.

[5]  Meng-Fan Chang,et al.  Endurance-aware circuit designs of nonvolatile logic and nonvolatile sram using resistive memory (memristor) device , 2012, 17th Asia and South Pacific Design Automation Conference.

[6]  T. Douseki,et al.  A 0.5-V 25-MHz 1-mW 256-kb MTCMOS/SOI SRAM for solar-power-operated portable personal digital equipment - sure write operation by using step-down negatively overdriven bitline scheme , 2006, IEEE Journal of Solid-State Circuits.

[7]  Meng-Fan Chang,et al.  A Large $\sigma $V$_{\rm TH}$/VDD Tolerant Zigzag 8T SRAM With Area-Efficient Decoupled Differential Sensing and Fast Write-Back Scheme , 2011, IEEE Journal of Solid-State Circuits.

[8]  K. Ishibashi,et al.  A 65-nm SoC Embedded 6T-SRAM Designed for Manufacturability With Read and Write Operation Stabilizing Circuits , 2007, IEEE Journal of Solid-State Circuits.

[9]  Masahiko Yoshimoto,et al.  A 10T Non-Precharge Two-Port SRAM for 74% Power Reduction in Video Processing , 2007, IEEE Computer Society Annual Symposium on VLSI (ISVLSI '07).

[10]  M. Khellah,et al.  Wordline & Bitline Pulsing Schemes for Improving SRAM Cell Stability in Low-Vcc 65nm CMOS Designs , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

[11]  K. Takeda,et al.  A read-static-noise-margin-free SRAM cell for low-V/sub dd/ and high-speed applications , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[12]  Meng-Fan Chang,et al.  A 260mV L-shaped 7T SRAM with bit-line (BL) Swing expansion schemes based on boosted BL, asymmetric-VTH read-port, and offset cell VDD biasing techniques , 2012, 2012 Symposium on VLSI Circuits (VLSIC).

[13]  T. Sasaki,et al.  A 0.7 V Single-Supply SRAM With 0.495 $\mu$m$^{2}$ Cell in 65 nm Technology Utilizing Self-Write-Back Sense Amplifier and Cascaded Bit Line Scheme , 2009, IEEE Journal of Solid-State Circuits.

[14]  H. Pilo,et al.  An SRAM Design in 65-nm Technology Node Featuring Read and Write-Assist Circuits to Expand Operating Voltage , 2007, IEEE Journal of Solid-State Circuits.

[15]  Koji Nii,et al.  A 45-nm Bulk CMOS Embedded SRAM With Improved Immunity Against Process and Temperature Variations , 2008, IEEE Journal of Solid-State Circuits.

[16]  Young-Hyun Jun,et al.  A 100nm Double-Stacked 500MHz 72Mb Separate-I/O Synchronous SRAM with Automatic Cell-Bias Scheme and Adaptive Block Redundancy , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[17]  Meng-Fan Chang,et al.  Low-Voltage Embedded NAND-ROM Macros Using Data-Aware Sensing Reference Scheme for VDDmin, Speed and Power Improvement , 2013, IEEE Journal of Solid-State Circuits.

[18]  H. Fujiwara,et al.  An Area-Conscious Low-Voltage-Oriented 8T-SRAM Design under DVS Environment , 2007, 2007 IEEE Symposium on VLSI Circuits.

[19]  C.H. Kim,et al.  A Voltage Scalable 0.26 V, 64 kb 8T SRAM With V$_{\min}$ Lowering Techniques and Deep Sleep Mode , 2008, IEEE Journal of Solid-State Circuits.

[20]  Naveen Verma,et al.  A 65nm 8T Sub-Vt SRAM Employing Sense-Amplifier Redundancy , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[21]  Y.H. Chen,et al.  A 0.6V 45nm adaptive dual-rail SRAM compiler circuit design for lower VDD_min VLSIs , 2008, 2008 IEEE Symposium on VLSI Circuits.

[22]  N. Vallepalli,et al.  A 3-GHz 70-mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply , 2005, IEEE Journal of Solid-State Circuits.

[23]  Meng-Fan Chang,et al.  A large σVTH/VDD tolerant zigzag 8T SRAM with area-efficient decoupled differential sensing and fast write-back scheme , 2010, 2010 Symposium on VLSI Circuits.

[24]  M. Suzuki,et al.  Post-Fabrication self-convergence scheme for suppressing variability in SRAM cells and logic transistors , 2006, 2009 Symposium on VLSI Technology.

[25]  S. Burns,et al.  An SRAM Design in 65nm and 45nm Technology Nodes Featuring Read and Write-Assist Circuits to Expand Operating Voltage , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

[26]  R.H. Dennard,et al.  An 8T-SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches , 2008, IEEE Journal of Solid-State Circuits.

[27]  W. Huott,et al.  6.6+ GHz Low Vmin, read and half select disturb-free 1.2 Mb SRAM , 2007, 2007 IEEE Symposium on VLSI Circuits.

[28]  Hiroyuki Yamauchi,et al.  A 45nm dual-port SRAM with write and read capability enhancement at low voltage , 2007, 2007 IEEE International SOC Conference.

[29]  L.T. Clark,et al.  An Ultra-Low-Power Memory With a Subthreshold Power Supply Voltage , 2006, IEEE Journal of Solid-State Circuits.

[30]  Jason Liu,et al.  A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[31]  Zhiyu Liu,et al.  Characterization of a Novel Nine-Transistor SRAM Cell , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[32]  Koji Nii,et al.  A 0.5V 100MHz PD-SOI SRAM with enhanced read stability and write margin by asymmetric MOSFET and forward body bias , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[33]  K. Ishibashi,et al.  0.4-V logic-library-friendly SRAM array using rectangular-diffusion cell and delta-boosted-array voltage scheme , 2004, IEEE Journal of Solid-State Circuits.

[34]  Kaushik Roy,et al.  A 32kb 10T Subthreshold SRAM Array with Bit-Interleaving and Differential Read Scheme in 90nm CMOS , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[35]  S. Shimada,et al.  Low-power embedded SRAM modules with expanded margins for writing , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[36]  K. Roy,et al.  A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM , 2007, IEEE Journal of Solid-State Circuits.

[37]  Shuhei Tanakamaru,et al.  Improvement of Read Margin and Its Distribution by $V_{\rm TH}$ Mismatch Self-Repair in 6T-SRAM With Asymmetric Pass Gate Transistor Formed by Post-Process Local Electron Injection , 2011, IEEE Journal of Solid-State Circuits.

[38]  Meng-Fan Chang,et al.  A 130 mV SRAM With Expanded Write and Read Margins for Subthreshold Applications , 2011, IEEE Journal of Solid-State Circuits.

[39]  Anna W. Topol,et al.  Stable SRAM cell design for the 32 nm node and beyond , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..

[40]  A. Chandrakasan,et al.  A 256kb Sub-threshold SRAM in 65nm CMOS , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[41]  M. Yabuuchi,et al.  A 45 nm 2-port 8T-SRAM Using Hierarchical Replica Bitline Technique With Immunity From Simultaneous R/W Access Issues , 2008, IEEE Journal of Solid-State Circuits.

[42]  Atsushi Kawasumi,et al.  A process-variation-tolerant dual-power-supply SRAM with 0.179µm2 Cell in 40nm CMOS using level-programmable wordline driver , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.