Runtime Adaptive Extensible Embedded Processors - A Survey
暂无分享,去创建一个
[1] A. Lodi,et al. A VLIW processor with reconfigurable instruction set for embedded applications , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..
[2] Geoffrey Brown,et al. Lx: a technology platform for customizable VLIW embedded processing , 2000, ISCA '00.
[3] Michael D. Smith,et al. A high-performance microarchitecture with hardware-programmable functional units , 1994, Proceedings of MICRO-27. The 27th Annual IEEE/ACM International Symposium on Microarchitecture.
[4] Ricardo E. Gonzalez,et al. Xtensa: A Configurable and Extensible Processor , 2000, IEEE Micro.
[5] Brad L. Hutchings,et al. A dynamic instruction set computer , 1995, Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.
[6] Muhammad Shafique,et al. RISPP: Rotating Instruction Set Processing Platform , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[7] Rainer Leupers,et al. Customizable Embedded Processors: Design Technologies and Applications , 2006 .
[8] Scott A. Mahlke,et al. An architecture framework for transparent instruction set customization in embedded processors , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[9] Paul Chow,et al. Memory interfacing and instruction specification for reconfigurable processors , 1999, FPGA '99.
[10] Tulika Mitra,et al. Runtime reconfiguration of custom instructions for real-time embedded systems , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[11] Muhammad Shafique,et al. Run-time instruction set selection in a transmutable embedded processor , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[12] Ricardo E. Gonzalez. A Software-Configurable Processor Architecture , 2006, IEEE Micro.
[13] Andreas Moshovos,et al. CHIMAERA: a high-performance architecture with a tightly-coupled reconfigurable functional unit , 2000, ISCA '00.
[14] Frank Vahid,et al. Warp Processors , 2004, ACM Trans. Design Autom. Electr. Syst..
[15] Tulika Mitra,et al. An efficient framework for dynamic reconfiguration of instruction-set customization , 2007, CASES '07.
[16] Scott A. Mahlke,et al. Application-Specific Processing on a General-Purpose Core via Transparent Instruction Set Customization , 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).
[17] Stamatis Vassiliadis,et al. The MOLEN polymorphic processor , 2004, IEEE Transactions on Computers.