Schematic Design Techniques for Power Saving in RF

RF analog circuits are characterised by the use of relatively simple schemes. This is due to the fact that each component adds some kind of parasitic effects, therefore the design always follows the rule of “less is more”. For this reason, most of power saving techniques widely applied in base band or digital circuits is not suitable for high frequency applications. Chapter 5 outlines the most useful alternatives. In this chapter the general principles are presented, and many references to other works are also included. In order to help the reader to fully understand the impact of these techniques, basic simulations and comparisons are included. The practical application of these techniques is presented in subsequent chapters.

[1]  A. Sedra Microelectronic circuits , 1982 .

[2]  Michiel Steyaert,et al.  Wireless CMOS Frequency Synthesizer Design , 1998 .

[3]  David J. Comer,et al.  Operation of analog MOS circuits in the weak or moderate inversion region , 2004, IEEE Transactions on Education.

[4]  Edith Beigné,et al.  Automatic Gate Biasing of an SCCMOS Power Switch Achieving Maximum Leakage Reduction and Lowering Leakage Current Variability , 2008, IEEE Journal of Solid-State Circuits.

[5]  Abhijit Chatterjee,et al.  Algorithm for Achieving Minimum Energy Consumption in CMOS Circuits Using Multiple Supply and Threshold Voltages at the Module Level , 2003, ICCAD 2003.

[6]  Wei Hwang,et al.  Adaptive Power Control Technique on Power-Gated Circuitries , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  Hiroshi Kawaguchi,et al.  Subthreshold-leakage suppressed switched capacitor circuit based on super cut-off CMOS (SCCMOS) , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[8]  Iñigo Adin,et al.  Design Methodology for RF CMOS Phase Locked Loops , 2009 .

[9]  Ping Huang,et al.  A brief survey on power gating design , 2010, 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology.

[10]  Nobutaro Shibata,et al.  A High-Speed Low-Power Multi-VDD CMOS/SIMOX SRAM With LV-TTL Level Input/Output Pins—Write/Read Assist Techniques for 1-V Operated Memory Cells , 2010, IEEE Journal of Solid-State Circuits.

[11]  Martin D. F. Wong,et al.  Improving Voltage Assignment by Outlier Detection and Incremental Placement , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[12]  Y. Tsividis Operation and modeling of the MOS transistor , 1987 .

[13]  J. Fellrath,et al.  CMOS analog integrated circuits based on weak inversion operations , 1977 .

[14]  Demin Wang,et al.  A 90-nm Power Optimization Methodology With Application to the ARM 1136JF-S Microprocessor , 2006, IEEE Journal of Solid-State Circuits.

[15]  Roc Berenguer,et al.  OTA-based transmission line model with variable parameters for analog power flow computation , 2010 .

[16]  A.H.M. van Roermund,et al.  A low-voltage folded-switching mixer in 0.18-/spl mu/m CMOS , 2005, IEEE Journal of Solid-State Circuits.

[17]  Arya Behzad,et al.  A single-chip 2.4GHz double cascode power amplifier with switched programmable feedback biasing under multiple supply voltages in 65nm CMOS for WLAN application , 2010, 2010 IEEE Radio Frequency Integrated Circuits Symposium.

[18]  M.D.F. Wong Low power design with multi-Vdd and voltage islands (Abstract) , 2007, 2007 7th International Conference on ASIC.

[19]  David J. Allstot,et al.  A Current Reuse Quadrature GPS Receiver in 0.13 $\mu$m CMOS , 2010, IEEE Journal of Solid-State Circuits.

[20]  A.A. Abidi,et al.  High-frequency noise measurements on FET's with small dimensions , 1986, IEEE Transactions on Electron Devices.

[21]  F. Khalek,et al.  Low Power Techniques for a Mixed-Signal Circuit , 2007, 2007 International Symposium on Integrated Circuits.

[22]  R. Castello,et al.  A 2-dB noise figure 900-MHz differential CMOS LNA , 2001 .

[23]  Thomas H. Lee,et al.  The Design of CMOS Radio-Frequency Integrated Circuits: RF CIRCUITS THROUGH THE AGES , 2003 .

[24]  T. W. Williams,et al.  Reaching the limits of low power design , 2008, 2008 Asia and South Pacific Design Automation Conference.

[25]  Behzad Razavi,et al.  Design of Analog CMOS Integrated Circuits , 1999 .

[26]  Himanshu Kaul,et al.  Future performance challenges in nanometer design , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[27]  Chingwei Yeh,et al.  Design of STR level converters for SoCs using the multi-island dual-VDD design technique , 2006, 2006 IEEE International Symposium on Circuits and Systems.

[28]  Magnus Själander,et al.  A low-leakage twin-precision multiplier using reconfigurable power gating , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[29]  Rolf Kraemer,et al.  Power gating in wireless sensor networks , 2008, 2008 3rd International Symposium on Wireless Pervasive Computing.

[30]  Jason Cong,et al.  Optimality study of resource binding with multi-Vdds , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[31]  Olivier Sentieys,et al.  A novel approach for ultra low-power WSN node generation , 2010 .

[32]  David Bol,et al.  Impact of Technology Scaling on Digital Subthreshold Circuits , 2008, 2008 IEEE Computer Society Annual Symposium on VLSI.

[33]  Nihar R. Mahapatra,et al.  Exploiting Data-Dependent Slack Using Dynamic Multi-VDD to Minimize Energy Consumption in Datapath Circuits , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[34]  Anantha P. Chandrakasan,et al.  Low-power CMOS digital design , 1992 .

[35]  David J. Allstot,et al.  A 7.2mW quadrature GPS receiver in 0.13µm CMOS , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[36]  Majid Sarrafzadeh,et al.  Fine-grained post placement voltage assignment considering level shifter overhead , 2010, 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip.

[37]  Shin'ichiro Mutoh,et al.  1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS , 1995, IEEE J. Solid State Circuits.

[38]  R. R. Harrison,et al.  A low-power low-noise CMOS amplifier for neural recording applications , 2003, IEEE J. Solid State Circuits.

[39]  Yeo Kiat Seng,et al.  A 2.4 GHz ultra low power subthreshold CMOS low‐noise amplifier , 2007 .

[40]  K. Bacrania,et al.  A 10-bit 50-MS/s Pipelined ADC With Opamp Current Reuse , 2007, IEEE Journal of Solid-State Circuits.

[41]  D. Sengupta,et al.  Constraint-based voltage island partitioning , 2007, 2007 IEEE Northeast Workshop on Circuits and Systems.

[42]  Saraju P. Mohanty,et al.  A Dual Oxide CMOS Universal Voltage Converter for Power Management in Multi-V DD SoCs , 2008, ISQED 2008.

[43]  Multiple supply (class-G) linear modulator and PA for non-CE modulation , 2009, 2009 IEEE Radio Frequency Integrated Circuits Symposium.

[44]  Bertan Bakkaloglu,et al.  A 10b 50MS/s opamp-sharing pipeline A/D with current-reuse OTAs , 2009, 2009 IEEE Custom Integrated Circuits Conference.

[45]  I-Min Liu,et al.  Timing-constrained and voltage-island-aware voltage assignment , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[46]  T. Sakurai,et al.  A super cut-off CMOS (SCCMOS) scheme for 0.5-V supply voltage with picoampere stand-by current , 2000, IEEE Journal of Solid-State Circuits.

[47]  John P. Hayes,et al.  Total power reduction in CMOS circuits via gate sizing and multiple threshold voltages , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[48]  Kaushik Roy,et al.  Low-power design using multiple channel lengths and oxide thicknesses , 2004, IEEE Design & Test of Computers.

[49]  Dennis Sylvester,et al.  Fast and energy-efficient asynchronous level converters for multi-VDD design [CMOS ICs] , 2003, IEEE International [Systems-on-Chip] SOC Conference, 2003. Proceedings..

[50]  SungWon Chung,et al.  Asymmetric multilevel outphasing architecture for multi-standard transmitters , 2009, 2009 IEEE Radio Frequency Integrated Circuits Symposium.

[51]  Arthur van Roermund,et al.  A low-voltage folded-switching mixer in 0.18-μm CMOS , 2005 .

[52]  Goran Panic,et al.  Architecture of a Power-Gated Wireless Sensor Node , 2008, 2008 11th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools.

[53]  Volkan Kursun,et al.  Multi-Vth Level Conversion Circuits for Multi-VDD Systems , 2007, 2007 IEEE International Symposium on Circuits and Systems.

[54]  Roc Berenguer,et al.  Low frequency noise optimization in Gilbert-cell-based mixers for direct conversion (zero-IF) receivers , 2008 .

[55]  Liang-Hung Lu,et al.  Experimental 5-GHz RF Frontends for Ultra-Low-Voltage and Ultra-Low-Power Operations , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[56]  M. Tiebout,et al.  Inductorless 1–10.5 GHz wideband LNA for multistandard applications , 2009, 2009 IEEE Asian Solid-State Circuits Conference.

[57]  R. Castello,et al.  Single-Stage Low-Power Quadrature RF Receiver Front-End: The LMV Cell , 2006, IEEE Journal of Solid-State Circuits.

[58]  Cédric Majek,et al.  Current reuse topology in UWB CMOS LNA , 2009 .

[59]  N.B.Z. Ali,et al.  Delay fault modelling/simulation using VHDL-AMS in multi-Vdd systems , 2008, 2008 26th International Conference on Microelectronics.

[60]  Kaushik Roy,et al.  High-performance low-power CMOS circuits using multiple channel length and multiple oxide thickness , 2000, Proceedings 2000 International Conference on Computer Design.

[61]  Puneet Gupta,et al.  Gate-length biasing for runtime-leakage control , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[62]  A. Karanicolas A 2.7 V 900 MHz CMOS LNA and mixer , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.

[63]  J.S. Duster,et al.  A single-chip variable supply voltage power amplifier , 2005, 2005 IEEE Radio Frequency integrated Circuits (RFIC) Symposium - Digest of Papers.

[64]  Chuan Yi Tang,et al.  A 2.|E|-Bit Distributed Algorithm for the Directed Euler Trail Problem , 1993, Inf. Process. Lett..

[65]  Zhihua Wang,et al.  An energy-efficient ASIC with real-time work-on-demand for wireless body sensor network , 2008, 2008 IEEE International Conference on Electron Devices and Solid-State Circuits.

[66]  Jongsoo Lee,et al.  DC/DC converter controlled power amplifier module for WCDMA applications , 2006, IEEE Radio Frequency Integrated Circuits (RFIC) Symposium, 2006.

[67]  A. Inoue,et al.  Supply Voltage Adjustment Technique for Low Power Consumption and Its Application to SOCs with Multiple Threshold Voltage CMOS , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

[68]  Asad A. Abidi,et al.  A merged CMOS LNA and mixer for a WCDMA receiver , 2003 .

[69]  Martin D. F. Wong,et al.  Incremental Improvement of Voltage Assignment , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[70]  SeongHwan Cho,et al.  A 14.2mW 2.55-to-3GHz cascaded PLL with reference injection, 800MHz delta-sigma modulator and 255fsrms integrated jitter in 0.13μm CMOS , 2012, 2012 IEEE International Solid-State Circuits Conference.

[71]  Koji Nii,et al.  An auto-backgate-controlled MT-CMOS circuit , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).

[72]  Malgorzata Marek-Sadowska,et al.  Benefits and costs of power-gating technique , 2005, 2005 International Conference on Computer Design.

[73]  Shih-Chieh Chang,et al.  An efficient wakeup scheduling considering resource constraint for sensor-based power gating designs , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.