Rigorous assessment of patterning solution of metal layer in 7 nm technology node

Abstract. In a 7 nm node (N7), the logic design requires a critical poly pitch of 42 to 45 nm and a metal 1 (M1) pitch of 28 to 32 nm. Such high-pattern density pushes the 193 immersion lithography solution toward its limit and also brings extremely complex patterning scenarios. The N7 M1 layer may require a self-aligned quadruple patterning (SAQP) with a triple litho-etch (LE3) block process. Therefore, the whole patterning process flow requires multiple exposure+etch+deposition processes and each step introduces a particular impact on the pattern profiles and the topography. In this study, we have successfully integrated a simulation tool that enables emulation of the whole patterning flow with realistic process-dependent three-dimensional (3-D) profile and topology. We use this tool to study the patterning process variations of the N7 M1 layer including the overlay control, the critical dimension uniformity budget, and the lithographic process window (PW). The resulting 3-D pattern structure can be used to optimize the process flow, verify design rules, extract parasitics, and most importantly, simulate the electric field, and identify hot spots for dielectric reliability. As an example application, the maximum electric field at M1 tip-to-tip, which is one of the most critical patterning locations, has been simulated and extracted. The approach helps to investigate the impact of process variations on dielectric reliability. We have also assessed the alternative M1 patterning flow with a single exposure block using extreme ultraviolet lithography (EUVL) and analyzed its advantages compared to the LE3 block approach.

[1]  Rolf Seltmann,et al.  Role of 3D photo-resist simulation for advanced technology nodes , 2013, Advanced Lithography.

[2]  Michael C. Smayling,et al.  Optical lithography applied to 20-nm CMOS Logic and SRAM , 2011, Advanced Lithography.

[3]  William H. Arnold,et al.  Metrology challenges of double exposure and double patterning , 2007, SPIE Advanced Lithography.

[4]  Michael C. Smayling,et al.  32nm and below logic patterning using optimized illumination and double patterning , 2009, Advanced Lithography.

[5]  Peng Xie,et al.  Analysis of higher order pitch division for sub-32nm lithography , 2009, Advanced Lithography.

[6]  Peter De Bisschop,et al.  Experimental validation of rigorous, 3D profile models for negative-tone develop resists , 2014, Advanced Lithography.

[7]  Vincent Wiaux,et al.  Double patterning design split implementation and validation for the 32nm node , 2007, SPIE Advanced Lithography.

[8]  Patrick Jaenen,et al.  Pitch doubling through dual-patterning lithography challenges in integration and litho budgets , 2007, SPIE Advanced Lithography.

[9]  Michael C. Smayling,et al.  Low k1 logic design using gridded design rules , 2008, SPIE Advanced Lithography.

[10]  Jo Finders,et al.  Double patterning for 32nm and below: an update , 2008, SPIE Advanced Lithography.

[11]  Takayoshi Abe,et al.  Sub-40-nm half-pitch double patterning with resist freezing process , 2008, SPIE Advanced Lithography.

[12]  Thomas Mülders,et al.  Application of an inverse Mack model for negative tone development simulation , 2011, Advanced Lithography.

[13]  Vicky Philipsen,et al.  Calibration and verification of a stochastic model for EUV resist , 2012, Advanced Lithography.

[14]  Hidetami Yaegashi,et al.  Novel approaches to implement the self-aligned spacer double-patterning process toward 11-nm node and beyond , 2011, Advanced Lithography.

[15]  K. Croes,et al.  Reliability characteristics of thin porous low-K silica-based interconnect dielectrics , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[16]  William H. Arnold Toward 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography , 2008, SPIE Advanced Lithography.

[17]  Thomas Mülders,et al.  Calibration of physical resist models: methods, usability, and predictive power , 2009 .

[18]  Hidetami Yaegashi,et al.  CD error budget analysis for self-aligned multiple patterning , 2012, Other Conferences.

[19]  J. Finders,et al.  Manufacturing Challenges in Double Patterning Lithography , 2006, 2006 IEEE International Symposium on Semiconductor Manufacturing.