Thermal-aware 3D Microarchitectural Floorplanning

[1]  Anantha Chandrakasan,et al.  Timing, energy, and thermal performance of three-dimensional integrated circuits , 2004, GLSVLSI '04.

[2]  Kaushik Roy,et al.  Stochastic interconnect modeling, power trends, and performance characterization of 3-D circuits , 2001 .

[3]  Hsien-Hsin S. Lee,et al.  Profile-guided microarchitectural floor planning for deep submicron processor design , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  James D. Meindl,et al.  A generic system simulator (GENESYS) for ASIC technology and architecture beyond 2001 , 1996, Proceedings Ninth Annual IEEE International ASIC Conference and Exhibit.

[5]  Sachin S. Sapatnekar,et al.  Partition-driven standard cell thermal placement , 2003, ISPD '03.

[6]  Karthikeyan Sankaralingam,et al.  Routed inter-ALU networks for ILP scalability and performance , 2003, Proceedings 21st International Conference on Computer Design.

[7]  Vikas Agarwal,et al.  Clock rate versus IPC: the end of the road for conventional microarchitectures , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[8]  Kevin Skadron,et al.  Temperature-aware microarchitecture , 2003, ISCA '03.

[9]  Jason Cong,et al.  A thermal-driven floorplanning algorithm for 3D ICs , 2004, ICCAD 2004.

[10]  Sachin Sapatnekar,et al.  Efficient Thermal Placement of Standard Cells in 3D ICs using a Force Directed Approach , 2003, ICCAD 2003.

[11]  Margaret Martonosi,et al.  Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[12]  Jason Cong,et al.  Microarchitecture evaluation with physical planning , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[13]  A.L. Sangiovanni-Vincentelli,et al.  Wireplanning in logic synthesis , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[14]  Mario R. Casu,et al.  Floorplanning for throughput , 2004, ISPD '04.

[15]  Martin D. F. Wong,et al.  A matrix synthesis approach to thermal placement , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[16]  Pasquale Cocchini Concurrent flip-flop and repeater insertion for high performance integrated circuits , 2002, ICCAD 2002.

[17]  Ken Mai,et al.  The future of wires , 2001, Proc. IEEE.

[18]  Jason Cong,et al.  Architecture and synthesis for on-chip multicycle communication , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[19]  Lei He,et al.  Floorplanning optimization with trajectory piecewise-linear model for pipelined interconnects , 2004, Proceedings. 41st Design Automation Conference, 2004..

[20]  Sung-Mo Kang,et al.  Cell-level placement for improving substrate thermal distribution , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[21]  R. M. Mattheyses,et al.  A Linear-Time Heuristic for Improving Network Partitions , 1982, 19th Design Automation Conference.

[22]  Georg Sigl,et al.  GORDIAN: VLSI placement by quadratic programming and slicing optimization , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[23]  Lei He,et al.  Full-Chip Interconnect Power Estimation and Simulation Considering Concurrent Repeater and Flip-Flop Insertion , 2003, ICCAD 2003.