A TSV-cross-link-based approach to 3D-clock network synthesis for improved robustness
暂无分享,去创建一个
[1] Masato Edahiro,et al. A Clustering-Based Optimization Algorithm in Zero-Skew Routings , 1993, 30th ACM/IEEE Design Automation Conference.
[2] Xin Zhao,et al. Buffered clock tree synthesis for 3D ICs under thermal variations , 2008, 2008 Asia and South Pacific Design Automation Conference.
[3] Yiyu Shi,et al. Fault-tolerant 3D clock network , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[4] TingTing Hwang,et al. TSV redundancy: Architecture and design issues in 3D IC , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[5] Jiang Hu,et al. Reducing clock skew variability via cross links , 2004, Proceedings. 41st Design Automation Conference, 2004..
[6] Jae-Seok Yang,et al. Robust Clock Tree Synthesis with timing yield optimization for 3D-ICs , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
[7] Cheng-Kok Koh,et al. Cross link insertion for improving tolerance to variations in clock network synthesis , 2011, ISPD '11.
[8] TingTing Hwang,et al. TSV Redundancy: Architecture and Design Issues in 3-D IC , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[9] A. Toriumi,et al. In-plane mobility anisotropy and universality under uni-axial strains in nand p-MOS inversion layers on (100), [110], and (111) Si , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..
[10] Yao-Wen Chang,et al. Blockage-avoiding buffered clock-tree synthesis for clock latency-range and skew minimization , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[11] Taewhan Kim,et al. Clock tree synthesis with pre-bond testability for 3D stacked IC Designs , 2010, Design Automation Conference.
[12] Cheng-Kok Koh,et al. Synthesis of low power clock trees for handling power-supply variations , 2011, ISPD '11.
[13] Luca Benini,et al. A low-overhead fault tolerance scheme for TSV-based 3D network on chip links , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.
[14] Cheng-Kok Koh,et al. Analytical estimates of stress around a doubly periodic arrangement of through-silicon vias , 2013, Microelectron. Reliab..
[15] Dongjin Lee,et al. Multilevel tree fusion for robust clock networks , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[16] Xin Zhao,et al. Through-silicon-via-induced obstacle-aware clock tree synthesis for 3D ICs , 2012, 17th Asia and South Pacific Design Automation Conference.
[17] Jae-Seok Yang,et al. TSV stress aware timing analysis with applications to 3D-IC layout optimization , 2010, Design Automation Conference.
[18] Cliff C. N. Sze. ISPD 2010 high performance clock network synthesis contest: benchmark suite and results , 2010, ISPD '10.