CRISTA: A New Paradigm for Low-Power, Variation-Tolerant, and Adaptive Circuit Synthesis Using Critical Path Isolation

Design considerations for robustness with respect to variations and low-power operations typically impose contradictory design requirements. Low-power design techniques such as voltage scaling, dual- , etc., can have a large negative impact on parametric yield. In this paper, we propose a novel paradigm for low-power variation-tolerant circuit design called critical path isolation for timing adaptiveness (CRISTA), which allows aggressive voltage scaling. The principal idea includes the following: 1) isolate and predict the set of possible paths that may become critical under process variations; 2) ensure that they are activated rarely; and 3) avoid possible delay failures in the critical paths by dynamically switching to two-cycle operation (assuming all standard operations are single cycle), when they are activated. This allows us to operate the circuit at reduced supply voltage while achieving the required yield. Simulation results on a set of benchmark circuits with Berkeley-predictive-technology-model [BPTM 70 nm: Berkeley predictive technology model] 70-nm devices that show an average of 60% improvement in power with small overhead in performance and 18% overhead in die area compared to conventional design. We also present two applications of the proposed methodology that include the following: 1) pipeline design for low power and 2) temperature-adaptive circuit design.

[1]  Kevin Skadron,et al.  Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.

[2]  Kaushik Roy,et al.  Shannon Expansion Based Supply-Gated Logic for Improved Power and Testability , 2005, 14th Asian Test Symposium (ATS'05).

[3]  Luciano Lavagno,et al.  Timed Shannon Circuits: A Power-Efficient Design Style and Synthesis Tool , 1995, 32nd Design Automation Conference.

[4]  Kaushik Roy,et al.  Statistical timing analysis using levelized covariance propagation , 2005, Design, Automation and Test in Europe.

[5]  S. Naffziger,et al.  Power and temperature control on a 90-nm Itanium family processor , 2006, IEEE Journal of Solid-State Circuits.

[6]  D. J. Hathaway,et al.  Uncertainty-aware circuit optimization , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

[7]  Vivek De,et al.  Design and reliability challenges in nanometer technologies , 2004, Proceedings. 41st Design Automation Conference, 2004..

[8]  David Blaauw,et al.  Statistical optimization of leakage power considering process variations using dual-Vth and sizing , 2004, Proceedings. 41st Design Automation Conference, 2004..

[9]  Kaushik Roy,et al.  A novel synthesis approach for active leakage power reduction using dynamic supply gating , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[10]  Jan M. Rabaey,et al.  Digital Integrated Circuits , 2003 .

[11]  David Blaauw,et al.  Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation , 2003, MICRO.

[12]  Kaushik Roy,et al.  Novel sizing algorithm for yield improvement under process variation in nanometer technology , 2004, Proceedings. 41st Design Automation Conference, 2004..

[13]  Kevin Skadron,et al.  Temperature-Aware Microarchitecture: Extended Discussion and Results , 2003 .

[14]  Sudhakar M. Reddy,et al.  Design of robustly testable combinational logic circuits , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..