Line-width roughness analysis of EUV resists after development in homogenous CO2 solutions using CO2 compatible salts (CCS) by a three-parameter model

Line Width Roughness (LWR) of resists constitutes one of the main obstacles in the race of further shrinking the feature dimensions of fabricated devices. Thus, the reduction and control of LWR is one of the biggest challenges of next generation lithographies. In this paper, the LWR output of a new development process of EUV resists which uses homogeneous carbon dioxide (CO2) solutions containing CO2 compatible salts (CCS) has been examined. The measurement and characterization of LWR has been made through the analysis of CD-SEM images and the application of a three-parameter model. The three parameters involved in this model (sigma value σLWR, correlation length ξ, roughness exponent α) determine both the spatial aspects (spectrum) of LWR as well as the interplay between LWR and local CD variations. It is found that wafers developed with CCS process gives substantially lower LWR parameters (σLWR,ξ) than comparable TMAH developed samples. Also, the impact of the preparation of resist wafer (exposure time, PAG and quencher level) and the development conditions (temperature, CCS concentration) on LWR parameters is examined so that we are able to identify trends to lead toward optimized LWR performance.

[1]  Wen-li Wu,et al.  Preliminary evaluation of line-edge roughness metrology based on CD-SAXS , 2004, SPIE Advanced Lithography.

[2]  M. Ercken,et al.  Line edge roughness: experimental results related to a two-parameter model , 2004 .

[3]  Thomas Marschner,et al.  193-nm resist roughness characterization and process propagation investigation using a CD-SEM , 2004, SPIE Advanced Lithography.

[4]  Johann Foucher From CD to 3D sidewall roughness analysis with 3D CD-AFM , 2005, SPIE Advanced Lithography.

[5]  N. T. Sullivan,et al.  Minimizing the impact of image icquisition on CD-SEM LER/LWR measurements , 2005, SPIE Advanced Lithography.

[6]  Evangelos Gogolides,et al.  Effects of different processing conditions on line-edge roughness for 193-nm and 157-nm resists , 2004, SPIE Advanced Lithography.

[7]  Brian Osborn,et al.  Using alicyclic polymers in top surface imaging systems to reduce line-edge roughness , 2000, Advanced Lithography.

[8]  J. Hazart,et al.  Optical Fourier transform scatterometry for LER and LWR metrology , 2005, SPIE Advanced Lithography.

[9]  M. Ercken,et al.  Full spectral analysis of line width roughness , 2005, SPIE Advanced Lithography.

[10]  Atsuko Yamaguchi,et al.  Characterization of line-edge roughness in resist patterns and estimations of its effect on device performance , 2003, SPIE Advanced Lithography.

[11]  Nelson Felix,et al.  Materials for future lithography (Invited Paper) , 2005, SPIE Advanced Lithography.

[12]  Atsuko Yamaguchi,et al.  Metrology of LER: influence of line-edge roughness (LER) on transistor performance , 2004, SPIE Advanced Lithography.

[13]  E. Gogolides,et al.  Line edge roughness and critical dimension variation: Fractal characterization and comparison using model functions , 2004 .

[14]  Evangelos Gogolides,et al.  Characterization and modeling of line width roughness (LWR) , 2005, SPIE Advanced Lithography.