Robust Chip-Level Clock Tree Synthesis
暂无分享,去创建一个
[1] Sunil P. Khatri,et al. A novel clock distribution and dynamic de-skewing methodology , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[2] Jiang Hu,et al. Reducing clock skew variability via cross links , 2004, Proceedings. 41st Design Automation Conference, 2004..
[3] Rajeev Murgai,et al. An Efficient Uncertainty- and Skew-aware Methodology for Clock Tree Synthesis and Analysis , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).
[4] David Z. Pan,et al. Robust chip-level clock tree synthesis for SOC designs , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[5] Junwei Jiang. Pin allocation for clock routing , 1996, 2nd International Conference on ASIC.
[6] Jiang Hu,et al. Reducing clock skew variability via crosslinks , 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[7] K.A. Jenkins,et al. A clock distribution network for microprocessors , 2000, 2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103).
[8] Eby G. Friedman,et al. Clock distribution networks in synchronous digital integrated circuits , 2001, Proc. IEEE.
[9] Jiang Hu,et al. An efficient merging scheme for prescribed skew clock routing , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[10] Rochit Rajsuman. System-On-A-Chip: Design and Test , 2000 .
[11] Kyle Castille,et al. A 800 MHz system-on-chip for wireless infrastructure applications , 2004, 17th International Conference on VLSI Design. Proceedings..
[12] Masato Edahiro,et al. A Clustering-Based Optimization Algorithm in Zero-Skew Routings , 1993, 30th ACM/IEEE Design Automation Conference.
[13] Eike Schmidt,et al. System Level Clock Tree Synthesis for Power Optimization , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[14] R. Tsay. Exact zero skew , 1991, ICCAD 1991.
[15] Rajasekhar Velamuri,et al. A 65nm C64x+ Multi-Core DSP Platform for Communications Infrastructure , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[16] Jan-Ming Ho,et al. Zero skew clock routing with minimum wirelength , 1992 .
[17] Jason Cong,et al. Bounded-skew clock and Steiner routing , 1998, TODE.
[18] Janet Roveda,et al. Robust Clock Tree Routing in the Presence of Process Variations , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.