Open Cell Library in 15nm FreePDK Technology

This paper presents the 15nm FinFET-based Open Cell Library (OCL) and describes the challenges in the methodology while designing a standard cell library for such advanced technology node. The 15nm OCL is based on a generic predictive state-of-the-art technology node. The proposed cell library is intended to provide access to advanced technology node for universities and other research institutions, in order to design digital integrated circuits and also to develop cell-based design flows, EDA tools and associated algorithms. Developing a 15nm standard cell library brings out design challenges which are not present in previous technology nodes. Some of these challenges include double-patterning for both metal and poly layers, a very restrictive set of physical design rules, and the demand for lithography-friendly patterns. This paper discusses the development of the library considering the challenges associated with advanced technology nodes.

[1]  Andrew R. Neureuther,et al.  Variability aware timing models at the standard cell level , 2010, Advanced Lithography.

[2]  Mark Y. Liu,et al.  A 14nm logic technology featuring 2nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588 µm2 SRAM cell size , 2014, 2014 IEEE International Electron Devices Meeting.

[3]  William Rhett Davis,et al.  FreePDK15: An Open-Source Predictive Process Design Kit for 15nm FinFET Technology , 2015, ISPD.

[4]  Ping Ju,et al.  Nonlinear dynamic load modelling: model and parameter estimation , 1996 .

[5]  Kartik Mohanram,et al.  Dual-$V_{th}$ Independent-Gate FinFETs for Low Power Logic Circuits , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  Martin D. F. Wong,et al.  Blade and razor: cell and interconnect delay analysis using current-based models , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[7]  Yasuhiro Kishikawa,et al.  Influence of resist blur on ultimate resolution of ArF immersion lithography , 2006 .

[8]  Vazgen Melikyan,et al.  Synopsys' open educational design kit: Capabilities, deployment and future , 2009, 2009 IEEE International Conference on Microelectronic Systems Education.

[9]  R. Chau,et al.  A 90-nm logic technology featuring strained-silicon , 2004, IEEE Transactions on Electron Devices.

[10]  Yu Cao,et al.  Exploring sub-20nm FinFET design with Predictive Technology Models , 2012, DAC Design Automation Conference 2012.

[11]  ERIC M. VOGEL,et al.  Technology and metrology of new electronic materials and devices. , 2007, Nature nanotechnology.

[12]  Abhinav Sharma,et al.  Library Architecture Challenges for Cell-Based Design , 2004 .

[13]  Nicholas C. Rumin,et al.  Delay and current estimation in a CMOS inverter with an RC load , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[14]  W. Vandervorst,et al.  Atom-probe for FinFET dopant characterization. , 2011, Ultramicroscopy.

[15]  Kirti Bhanushali,et al.  Design Rule Development for FreePDK15: An Open Source Predictive Process Design Kit for 15nm FinFET Devices. , 2014 .

[16]  G. Northrop,et al.  High performance 14nm SOI FinFET CMOS technology with 0.0174µm2 embedded DRAM and 15 levels of Cu metallization , 2014, 2014 IEEE International Electron Devices Meeting.

[17]  Warren Montgomery,et al.  22nm half-pitch patterning by CVD spacer self alignment double patterning (SADP) , 2008, SPIE Advanced Lithography.

[18]  Giovanni De Micheli,et al.  Carbon nanotube correlation: Promising opportunity for CNFET circuit yield enhancement , 2010, Design Automation Conference.

[19]  Isabelle Ferain,et al.  Multigate transistors as the future of classical metal–oxide–semiconductor field-effect transistors , 2011, Nature.

[20]  Chenming Hu,et al.  Sub 50-nm FinFET: PMOS , 1999, International Electron Devices Meeting 1999. Technical Digest (Cat. No.99CH36318).

[21]  J.-P Colinge Multigate transistors: Pushing Moore's law to the limit , 2014, 2014 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD).

[22]  Stephen Berard,et al.  Implications of Historical Trends in the Electrical Efficiency of Computing , 2011, IEEE Annals of the History of Computing.

[23]  Geert Vandenberghe,et al.  The economic impact of EUV lithography on critical process modules , 2014, Advanced Lithography.

[24]  C. Auth,et al.  A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors , 2012, 2012 Symposium on VLSI Technology (VLSIT).

[25]  Yu Cao,et al.  Design benchmarking to 7nm with FinFET predictive technology models , 2012, ISLPED '12.

[26]  Paul Zimmerman,et al.  Double patterning lithography: double the trouble or double the fun? , 2009 .

[27]  Giovanni De Micheli,et al.  CELONCEL: Effective design technique for 3-D monolithic integration targeting high performance integrated circuits , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).