Timing analysis and optimization: from devices to systems (tutorial)

Design: System-level power estimation, analysis and optimization. Nonlinear model reduction and computational macromodeling. Fast analysis of large-scale circuits and systems. Novel design methodologies enabled by simulation. 4.2 Timing and Behavioral Modeling: Gate, switch and block level modeling. Timing analysis and methodologies. Current-source modeling. Behavioral modeling of circuits and systems. 4.3 Circuit Design, Synthesis and Optimization: Advances in low power, variation-tolerant, high speed, mixed-signal and RF circuit-level design, flows and methodology. On-chip power regulation. Computer-aided circuit and system synthesis. Design centering and performance optimization. Pareto methods. Note: circuit design papers lacking a CAD/methodology component are not suited for ICCAD. 4.4 Device-level Modeling and Simulation: Computer-aided analysis and design of electronic and mixed-domain devices. Semiconductor, nanoelectronic, micromechanical, electro-optical, device simulation and compact modeling. Electromagnetic simulation and package analysis. EMC/EMI simulation techniques. Modeling of device variability. 4.5 Interconnect and Power Networks: Network-level power/ground and package analysis and optimization. Reduced order modeling of interconnect and linear time invariant networks. Signal integrity analysis. Interconnect parameter extraction. 5) CAD FOR NANOSCALE AND BIOLOGICAL SYSTEMS 5.1 Biological Systems: Computer-aided analysis techniques for biological systems-biomolecular, intracellular, cellular, organ and organism level. Analysis and design of synthetic biological systems. Multi-scale biological systems, systems biology. 5.2 Nanoscale and Post-CMOS Systems: Analysis, synthesis and design methods for novel devices (eg., quantum, molecular, spin-based) and systems centered about future nanotechnologies. Bio-electronic devices and systems. ICCAD serves EDA and design professionals, highlighting new challenges and innovative solutions for Integrated Circuit Design Technologies and Systems. ICCAD covers the full range of traditional CAD topics; in addition, it also covers CAD for supporting post-CMOS design, as well as design automation for novel application areas, such as biology and nanotechnology.