Low power and robust memory circuits with asymmetrical ground gating
暂无分享,去创建一个
[1] Kaya Can Akyel,et al. Scalable 0.35V to 1.2V SRAM bitcell design from 65nm CMOS to 28nm FDSOI , 2013, 2013 Proceedings of the ESSCIRC (ESSCIRC).
[2] Eby G. Friedman,et al. Multi-voltage CMOS Circuit Design , 2006 .
[3] H. Pilo,et al. An SRAM Design in 65-nm Technology Node Featuring Read and Write-Assist Circuits to Expand Operating Voltage , 2007, IEEE Journal of Solid-State Circuits.
[4] Pramod Kolar,et al. A 1.1 GHz 12 $\mu$A/Mb-Leakage SRAM Design in 65 nm Ultra-Low-Power CMOS Technology With Integrated Leakage Reduction for Mobile Applications , 2008, IEEE Journal of Solid-State Circuits.
[5] N. Vallepalli,et al. SRAM design on 65-nm CMOS technology with dynamic sleep transistor for leakage reduction , 2005, IEEE Journal of Solid-State Circuits.
[6] Wei Hwang,et al. A 1kb 9T subthreshold SRAM with bit-interleaving scheme in 65nm CMOS , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.
[7] Volkan Kursun,et al. Ground-Bouncing-Noise-Aware Combinational MTCMOS Circuits , 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.
[8] Robin Wilson,et al. Scalable 0.35 V to 1.2 V SRAM Bitcell Design From 65 nm CMOS to 28 nm FDSOI , 2014, IEEE Journal of Solid-State Circuits.
[9] Meng-Fan Chang,et al. A 130 mV SRAM With Expanded Write and Read Margins for Subthreshold Applications , 2011, IEEE Journal of Solid-State Circuits.
[10] Anna W. Topol,et al. Stable SRAM cell design for the 32 nm node and beyond , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..
[11] Meng-Fan Chang,et al. A Sub-0.3 V Area-Efficient L-Shaped 7T SRAM With Read Bitline Swing Expansion Schemes Based on Boosted Read-Bitline, Asymmetric-V$_{\rm TH}$ Read-Port, and Offset Cell VDD Biasing Techniques , 2013, IEEE Journal of Solid-State Circuits.
[12] K. Takeda,et al. A read-static-noise-margin-free SRAM cell for low-V/sub dd/ and high-speed applications , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[13] Wei Hwang,et al. Design and Iso-Area $V_{\min}$ Analysis of 9T Subthreshold SRAM With Bit-Interleaving Scheme in 65-nm CMOS , 2012, IEEE Transactions on Circuits and Systems II: Express Briefs.
[14] V. Kursun,et al. Low power and robust ground gated memory banks with combined write assist techniques , 2012, 2012 IEEE Faible Tension Faible Consommation.
[15] Shi-Yu Huang,et al. P-P-N Based 10T SRAM Cell for Low-Leakage and Resilient Subthreshold Operation , 2011, IEEE Journal of Solid-State Circuits.
[16] Paolo A. Aseron,et al. A 45 nm Resilient Microprocessor Core for Dynamic Variation Tolerance , 2011, IEEE Journal of Solid-State Circuits.
[17] Volkan Kursun,et al. Reactivation Noise Suppression With Sleep Signal Slew Rate Modulation in MTCMOS Circuits , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[18] Jonathan White,et al. Design solutions for the Bulldozer 32nm SOI 2-core processor module in an 8-core CPU , 2011, 2011 IEEE International Solid-State Circuits Conference.
[19] Paolo A. Aseron,et al. A 45nm resilient and adaptive microprocessor core for dynamic variation tolerance , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[20] Meng-Fan Chang,et al. A 0.45-V 300-MHz 10T Flowthrough SRAM With Expanded write/ read Stability and Speed-Area-Wise Array for Sub-0.5-V Chips , 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.
[21] Meng-Fan Chang,et al. A Large $\sigma $V$_{\rm TH}$/VDD Tolerant Zigzag 8T SRAM With Area-Efficient Decoupled Differential Sensing and Fast Write-Back Scheme , 2011, IEEE Journal of Solid-State Circuits.
[22] Volkan Kursun,et al. Ground gated 8T SRAM cells with enhanced read and hold data stability , 2013, 2013 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
[23] Meng-Fan Chang,et al. A large σVTH/VDD tolerant zigzag 8T SRAM with area-efficient decoupled differential sensing and fast write-back scheme , 2010, 2010 Symposium on VLSI Circuits.
[24] Volkan Kursun,et al. A Novel Robust and Low-Leakage SRAM Cell With Nine Carbon Nanotube Transistors , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[25] Zhiyu Liu,et al. Characterization of a Novel Nine-Transistor SRAM Cell , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[26] William J. Bowhill,et al. A 32nm 3.1 billion transistor 12-wide-issue Itanium® processor for mission-critical servers , 2011, 2011 IEEE International Solid-State Circuits Conference.
[27] Magdy A. Bayoumi,et al. Low-Power Cache Design Using 7T SRAM Cell , 2007, IEEE Transactions on Circuits and Systems II: Express Briefs.
[28] Lei Jiang,et al. Die Stacking (3D) Microarchitecture , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[29] Pramod Kolar,et al. Bit Cell Optimizations and Circuit Techniques for Nanoscale SRAM Design , 2011, IEEE Design & Test of Computers.
[30] R.H. Dennard,et al. An 8T-SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches , 2008, IEEE Journal of Solid-State Circuits.
[31] Volkan Kursun,et al. Low power and robust 7T dual-Vt SRAM circuit , 2008, 2008 IEEE International Symposium on Circuits and Systems.
[32] Chen-Yong Cher,et al. Temperature Variation Characterization and Thermal Management of Multicore Architectures , 2009, IEEE Micro.
[33] Rajiv V. Joshi,et al. Design of Sub-90 nm Low-Power and Variation Tolerant PD/SOI SRAM Cell Based on Dynamic Stability Metrics , 2009, IEEE Journal of Solid-State Circuits.
[34] Hong Zhu,et al. A Comprehensive Comparison of Data Stability Enhancement Techniques With Novel Nanoscale SRAM Cells Under Parameter Fluctuations , 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.
[35] Volkan Kursun,et al. Tri-mode Operation for Noise Reduction and Data Preservation in Low-Leakage Multi-Threshold CMOS Circuits , 2010, VLSI-SoC.
[36] Massimo Alioto,et al. Ultra-Low Power VLSI Circuit Design Demystified and Explained: A Tutorial , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.
[37] Alexander Fish,et al. A 250 mV 8 kb 40 nm Ultra-Low Power 9T Supply Feedback SRAM (SF-SRAM) , 2011, IEEE Journal of Solid-State Circuits.
[38] Volkan Kursun,et al. Asymmetrical ground gating for low leakage and data robust sleep mode in memory banks , 2011, Proceedings of 2011 International Symposium on VLSI Design, Automation and Test.
[39] E. Seevinck,et al. Static-noise margin analysis of MOS SRAM cells , 1987 .
[40] Ming-Chien Tsai,et al. Single-Ended Subthreshold SRAM With Asymmetrical Write/Read-Assist , 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.