Reliability Analysis for On-chip Networks under RC Interconnect Delay Variation

Future integrated circuits are characterized by their high defect rates thereby necessitating certain degree of redundancy. In a typical network-on-chip (NoC), multiple paths exist between a source and a sink to provide the required level of fault tolerance. Consequently, a manufacturing fault on a single interconnect does not necessarily render the resulting integrated circuit useless. In this paper we quantify the fault tolerance offered by an NoC. Specifically, we (1) provide a model for determining the probability that an NoC link fails due to manufacturing variation, and (2) measure the impact of link failure on the number of cycles taken by the NoC to implement communication

[1]  Per Ola Börjesson,et al.  Simple Approximations of the Error Function Q(x) for Communications Applications , 1979, IEEE Trans. Commun..

[2]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[3]  Lawrence T. Pileggi,et al.  The Elmore Delay as a Bound for RC Trees with Generalized Input Signals , 1995, 32nd Design Automation Conference.

[4]  A. Blanksby,et al.  A 690-mW 1-Gb/s 1024-b, rate-1/2 low-density parity-check code decoder , 2001, IEEE J. Solid State Circuits.

[5]  Sani R. Nassif,et al.  Modeling and analysis of manufacturing variations , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

[6]  Jinjun Xiong,et al.  Simultaneous buffer insertion and wire sizing considering systematic CMP variation and random leff variation , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  Jinjun Xiong,et al.  Design of integrated-circuit interconnects with accurate modeling of chemical-mechanical planarization , 2005, SPIE Advanced Lithography.

[8]  A. Kahng,et al.  Simultaneous buffer insertion and wire sizing considering systematic CMP variation and random leff variation , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Adnan Aziz,et al.  Scheduling Traffic Matrices On General Switch Fabrics , 2006, 14th IEEE Symposium on High-Performance Interconnects (HOTI'06).

[10]  Robert G. Gallager,et al.  Low-density parity-check codes , 1962, IRE Trans. Inf. Theory.

[11]  A. J. Blanksby,et al.  A 690-mW 1-Gb/s 1024-b, rate-1/2 low-density parity-check code decoder , 2001, IEEE J. Solid State Circuits.

[12]  J. Woods,et al.  Probability and Random Processes with Applications to Signal Processing , 2001 .

[13]  Naoaki Yamanaka,et al.  Architectural choices in large scale ATM switches , 1998 .

[14]  David Harris,et al.  CMOS VLSI Design: A Circuits and Systems Perspective , 2004 .