Timing-driven variation-aware synthesis of hybrid mesh/tree clock distribution networks

Clock skew variations adversely affect timing margins, limiting performance, reducing yield, and may also lead to functional faults. Non-tree clock distribution networks, such as meshes and crosslinks, are employed to reduce skew and also to mitigate skew variations. These networks, however, increase the dissipated power while consuming significant metal resources. Several methods have been proposed to trade off power and wires to reduce skew. In this paper, an efficient algorithm is presented to reduce clock skew variations while minimizing power dissipation and metal area overhead. With a combination of nonuniform meshes and unbuffered trees (UBT), a variation-tolerant hybrid clock distribution network is produced. Clock skew variations are selectively reduced based on circuit timing information generated by static timing analysis (STA). The skew variation reduction procedure is prioritized for critical timing paths, since these paths are more sensitive to skew variations. A framework for skew variation management is proposed. The algorithm has been implemented in a standard 65nm cell library using standard EDA tools, and tested on several benchmark circuits. As compared to other nonuniform mesh construction methods that do not support managed skew tolerance, experimental results exhibit a 41% average reduction in metal area and a 43% average reduction in power dissipation. As compared to other methods that employ skew tolerance management techniques but do not use a hybrid clock topology, an 8% average reduction in metal area and a 9% average reduction in power dissipation are achieved.

[1]  Madhav P. Desai,et al.  Sizing of clock distribution networks for high performance CPU chips , 1996, DAC '96.

[2]  Michael Ian Shamos,et al.  Computational geometry: an introduction , 1985 .

[3]  David Z. Pan,et al.  MeshWorks: A Comprehensive Framework for Optimized Clock Mesh Network Synthesis , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  Nasser A. Kurd,et al.  A multigigahertz clocking scheme for the Pentium(R) 4 microprocessor , 2001, IEEE J. Solid State Circuits.

[5]  Sachin S. Sapatnekar,et al.  Hybrid structured clock network construction , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[6]  Witold A. Pleskacz,et al.  Power Dissipation in Basic Global Clock Distribution Networks , 2007, 2007 IEEE Design and Diagnostics of Electronic Circuits and Systems.

[7]  Chung-Kuan Cheng,et al.  A mulitple level network approach for clock skew minimization with process variations , 2004 .

[8]  Baris Taskin,et al.  Timing Optimization Through Clock Skew Scheduling , 2000 .

[9]  Ran Ginosar,et al.  Timing-driven variation-aware nonuniform clock mesh synthesis , 2010, GLSVLSI '10.

[10]  Marios C. Papaefthymiou,et al.  Reduced delay uncertainty in high performance clock distribution networks , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[11]  F. Liu,et al.  Practical Variation-Aware Interconnect Delay and Slew Analysis for Statistical Timing Verification , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[12]  Alina Deutsch,et al.  Designing the best clock distribution network , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).

[13]  S. Tam,et al.  Clock Generation and Distribution of a Dual-Core Xeon Processor with 16MB L3 Cache , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[14]  David Z. Pan,et al.  MeshWorks: An efficient framework for planning, synthesis and optimization of clock mesh networks , 2008, 2008 Asia and South Pacific Design Automation Conference.

[15]  Eby G. Friedman,et al.  Clock distribution networks in synchronous digital integrated circuits , 2001, Proc. IEEE.

[16]  Arvind Srinivasan,et al.  Clock routing for high-performance ICs , 1991, DAC '90.

[17]  Chung-Kuan Cheng,et al.  A mulitple level network approach for clock skew minimization with process variations , 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

[18]  T. Xanthopoulos,et al.  The design and analysis of the clock distribution network for a 1.2 GHz Alpha microprocessor , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[19]  D. Boning,et al.  Technology scaling impact of variation on clock skew and interconnect delay , 2001, Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No.01EX461).

[20]  Steven D. Kugelmass,et al.  A probabilistic model for clock skew , 1988, [1988] Proceedings. International Conference on Systolic Arrays.

[21]  Ran Ginosar,et al.  Power efficient tree-based crosslinks for skew reduction , 2009, GLSVLSI '09.

[22]  Masanori Hashimoto,et al.  Clock Skew Evaluation Considering Manufacturing Variability in Mesh-Style Clock Distribution , 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

[23]  K.A. Jenkins,et al.  The clock distribution of the Power4 microprocessor , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[24]  Rajeev Murgai,et al.  Clock distribution architectures: a comparative study , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[25]  G. Tosik,et al.  Different Approaches for Clock Skew Analysis in Present and Future Synchronous IC's , 2007, EUROCON 2007 - The International Conference on "Computer as a Tool".

[26]  Jiang Hu,et al.  Combinatorial Algorithms for Fast Clock Mesh Optimization , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[27]  David S. Johnson,et al.  Approximation algorithms for combinatorial problems , 1973, STOC.

[28]  Jiang Hu,et al.  Reducing clock skew variability via cross links , 2004, Proceedings. 41st Design Automation Conference, 2004..