Energy-Efficient Speed Scheduling for Real-Time Tasks under Thermal Constraints
暂无分享,去创建一个
[1] Rami G. Melhem,et al. Energy-efficient policies for embedded clusters , 2005, LCTES '05.
[2] Gang Qu,et al. Temperature-Aware Leakage Minimization Technique for Real-Time Systems , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[3] Linwei Niu,et al. Fixed priority scheduling for reducing overall energy on variable voltage processors , 2004, 25th IEEE International Real-Time Systems Symposium.
[4] Rajesh K. Gupta,et al. Leakage aware dynamic voltage scaling for real-time embedded systems , 2004, Proceedings. 41st Design Automation Conference, 2004..
[5] Tei-Wei Kuo,et al. On the Minimization of the Instantaneous Temperature for Periodic Real-Time Tasks ∗ , 2007 .
[6] Rami G. Melhem,et al. Minimizing expected energy in real-time embedded systems , 2005, EMSOFT.
[7] Lothar Thiele,et al. Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints , 2009, 2009 15th IEEE Real-Time and Embedded Technology and Applications Symposium.
[8] Huazhong Yang,et al. Accurate temperature-dependent integrated circuit leakage power estimation is easy , 2007 .
[9] Riccardo Bettati,et al. Reactive speed control in temperature-constrained real-time systems , 2006, 18th Euromicro Conference on Real-Time Systems (ECRTS'06).
[10] Li Shang,et al. Thermal vs Energy Optimization for DVFS-Enabled Processors in Embedded Systems , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).
[11] Xiaobo Sharon Hu,et al. Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs , 2011, IEEE Trans. Very Large Scale Integr. Syst..
[12] Lei He,et al. Temperature and supply Voltage aware performance and power modeling at microarchitecture level , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[13] Rami G. Melhem,et al. Dynamic and aggressive scheduling techniques for power-aware real-time systems , 2001, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420).
[14] Kirk Pruhs,et al. Dynamic speed scaling to manage energy and temperature , 2004, 45th Annual IEEE Symposium on Foundations of Computer Science.
[15] Kirk Pruhs,et al. Speed Scaling to Manage Temperature , 2005, STACS.
[16] G. Thompson,et al. Optimal Control Theory: Applications to Management Science and Economics , 2000 .
[17] Lothar Thiele,et al. Thermal-Aware Global Real-Time Scheduling on Multicore Systems , 2009, 2009 15th IEEE Real-Time and Embedded Technology and Applications Symposium.
[18] F. Frances Yao,et al. A scheduling model for reduced CPU energy , 1995, Proceedings of IEEE 36th Annual Foundations of Computer Science.
[19] Riccardo Bettati,et al. Delay Analysis in Temperature-Constrained Hard Real-Time Systems with General Task Arrivals , 2006, 2006 27th IEEE International Real-Time Systems Symposium (RTSS'06).
[20] Margaret Martonosi,et al. Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[21] Tei-Wei Kuo,et al. On the Minimization fo the Instantaneous Temperature for Periodic Real-Time Tasks , 2007, 13th IEEE Real Time and Embedded Technology and Applications Symposium (RTAS'07).
[22] Kevin Skadron,et al. Temperature-aware microarchitecture , 2003, ISCA '03.
[23] Suresh P. Sethi,et al. A Survey of the Maximum Principles for Optimal Control Problems with State Constraints , 1995, SIAM Rev..
[24] Hiroto Yasuura,et al. Voltage scheduling problem for dynamically variable voltage processors , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
[25] Stephen P. Boyd,et al. Temperature-aware processor frequency assignment for MPSoCs using convex optimization , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[26] Petru Eles,et al. Temperature-Aware Voltage Selection for Energy Optimization , 2008, 2008 Design, Automation and Test in Europe.
[27] Karam S. Chatha,et al. Approximation algorithm for the temperature-aware scheduling problem , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[28] Mahmut T. Kandemir,et al. Thermal-aware task allocation and scheduling for embedded systems , 2005, Design, Automation and Test in Europe.
[29] Jan M. Rabaey,et al. Digital Integrated Circuits , 2003 .
[30] Seung-Moon Yoo,et al. A framework for dynamic energy efficiency and temperature management , 2000, Proceedings 33rd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-33 2000.