3-D Topologies for Networks-on-Chip
暂无分享,去创建一个
[1] Payman Zarkesh-Ha,et al. Impact of three-dimensional architectures on interconnects in gigascale integration , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[2] Fernando Gehm Moraes,et al. Exploring NoC mapping strategies: an energy and timing aware technique , 2005, Design, Automation and Test in Europe.
[3] Yu Cao,et al. New Generation of Predictive Technology Model for Sub-45 nm Early Design Exploration , 2006, IEEE Transactions on Electron Devices.
[4] William J. Dally,et al. Principles and Practices of Interconnection Networks , 2004 .
[5] Axel Jantsch,et al. A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.
[6] Guoqing Chen,et al. Low-power repeaters driving RC and RLC interconnects with delay and bandwidth constraints , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[7] Hao Hua,et al. Performance Trend in Three-Dimensional Integrated Circuits , 2006, 2006 International Interconnect Technology Conference.
[8] Yehea I. Ismail,et al. Equivalent Elmore delay for RLC trees , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[9] Charles Addo-Quaye,et al. Thermal-aware mapping and placement for 3-D NoC designs , 2005, Proceedings 2005 IEEE International SOC Conference.
[10] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[11] Kaustav Banerjee,et al. A power-optimal repeater insertion methodology for global interconnects in nanometer designs , 2002 .
[12] A. R. Newton,et al. Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas , 1990 .
[13] Kaustav Banerjee,et al. 3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration , 2001, Proc. IEEE.
[14] William J. Dally,et al. A Delay Model for Router Microarchitectures , 2001, IEEE Micro.
[15] Sudhakar Yalamanchili,et al. Interconnection Networks: An Engineering Approach , 2002 .
[16] Luca Benini,et al. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.
[17] Partha Pratim Pande,et al. Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.
[18] Eby G. Friedman,et al. Interconnect delay minimization through interlayer via placement in 3-D ICs , 2005, ACM Great Lakes Symposium on VLSI.
[19] Jian Xu,et al. Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.
[20] Bruce F. Cockburn,et al. Design of a 3-D fully depleted SOI computational RAM , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[21] Takayasu Sakurai,et al. Analysis and future trend of short-circuit power , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[22] Axel Jantsch,et al. Networks on chip , 2003 .
[23] Yu Cao,et al. New generation of predictive technology model for sub-45nm design exploration , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[24] P. R. O'Brien,et al. Modeling the driving-point characteristic of resistive interconnect for accurate delay estimation , 1989, ICCAD 1989.
[25] Yehea Ismail,et al. Figures of merit to characterize the importance of on-chip inductance , 1999 .
[26] Dharma P. Agrawal,et al. Generalized Hypercube and Hyperbus Structures for a Computer Network , 1984, IEEE Transactions on Computers.
[27] Mahmut T. Kandemir,et al. Design and Management of 3D Chip Multiprocessors Using Network-in-Memory , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[28] Junho Lee,et al. High frequency electrical circuit model of chip-to-chip vertical via interconnection for 3-D chip stacking package , 2005, IEEE 14th Topical Meeting on Electrical Performance of Electronic Packaging, 2005..
[29] William J. Dally,et al. Performance Analysis of k-Ary n-Cube Interconnection Networks , 1987, IEEE Trans. Computers.
[30] Eby G. Friedman,et al. 3-D Topologies for Networks-on-Chip , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[31] Takayasu Sakurai,et al. Closed-form expressions for interconnection delay, coupling, and crosstalk in VLSIs , 1993 .
[32] Guoqing Chen,et al. Effective capacitance of RLC loads for estimating short-circuit power , 2006, 2006 IEEE International Symposium on Circuits and Systems.
[33] Sharad Malik,et al. Power-driven design of router microarchitectures in on-chip networks , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[34] Axel Jantsch,et al. The Nostrum backbone-a communication protocol stack for Networks on Chip , 2004, 17th International Conference on VLSI Design. Proceedings..
[35] Hendrikus J. M. Veendrick,et al. Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits , 1984 .
[36] J. Lu,et al. Three-dimensional (3D) ICs: a technology platform for integrated systems and opportunities for new polymeric adhesives , 2001, First International IEEE Conference on Polymers and Adhesives in Microelectronics and Photonics. Incorporating POLY, PEP & Adhesives in Electronics. Proceedings (Cat. No.01TH8592).