Reducing the Effective Coupling Capacitance in Buses Using Threshold Voltage Adjustment Techniques

This paper proposes a bus architecture which improves the performance and/or power dissipation of online buses. The proposed architecture reduces the delay on alternate lines by lowering the threshold voltage of its devices. Furthermore, the shifting of the signal switching on adjacent lines reduces the worst case coupling capacitance. Two implementations of this bus architecture are proposed, the alternate-Vt and the alternate forward body biased schemes, and are compared to a conventional bus scheme. For a flop distance of 1800 mum, the proposed schemes use the gained delay slack to reduce the total device width, and thus reducing the energy dissipation by 31.2%. For a 500-ps cycle time, the proposed bus schemes increase the maximum distance between flip-flops by 33%

[1]  Saibal Mukhopadhyay,et al.  Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits , 2003, Proc. IEEE.

[2]  Yehea I. Ismail,et al.  A skewed repeater bus architecture for on-chip energy reduction in microprocessors , 2005, 2005 International Conference on Computer Design.

[3]  Vivek De,et al.  Forward body bias for microprocessors in 130nm technology generation and beyond , 2002, VLSIC 2002.

[4]  Hiroto Yasuura,et al.  A bus delay reduction technique considering crosstalk , 2000, DATE '00.

[5]  Ranga Vemuri,et al.  Using GALS architecture to reduce the impact of long wire delay on FPGA performance , 2005, ASP-DAC.

[6]  Uming Ko,et al.  Hybrid dual-threshold design techniques for high-performance processors with low-power features , 1997, Proceedings of 1997 International Symposium on Low Power Electronics and Design.

[7]  S. Borkar,et al.  Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

[8]  Taewhan Kim,et al.  Coupling delay optimization by temporal decorrelation using dual threshold voltage technique , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[9]  M. Khellah,et al.  Static pulsed bus for on-chip interconnects , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).

[10]  Rajesh Kumar,et al.  Interconnect and noise immunity design for the Pentium 4 processor , 2003, DAC.

[11]  T. Sakurai,et al.  Two schemes to reduce interconnect delay in bi-directional and uni-directional buses , 2001, 2001 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.01CH37185).

[12]  Takayasu Sakurai,et al.  Coupling-driven bus design for low-power application-specific systems , 2001, DAC '01.

[13]  Anantha Chandrakasan,et al.  A bus energy model for deep submicron technology , 2002, IEEE Trans. Very Large Scale Integr. Syst..

[14]  Peter Robinson,et al.  Point to point GALS interconnect , 2002, Proceedings Eighth International Symposium on Asynchronous Circuits and Systems.