Hippocrates: First-Do-No-Harm Detailed Placement

Physical synthesis optimizations and engineering change orders typically change the locations of cells, resize cells or add more cells to the design after global placement. Unfortunately, those changes usually lead to wirelength increases; thus another pass of optimizations to further improve wirelength, timing and routing congestion characteristics is required. Simple wirelength-driven detailed placement techniques could be useful in this scenario. While such techniques can help to reduce wirelength, ones without careful timing constraint considerations might degrade the timing characteristics (worst negative slack, total negative slack, etc) and/or introduce more electrical violations (exceeding maximum output load constraints and maximum input slew constraints). In this paper, we propose a new detailed placement paradigm, which use a set of pin-based timing and electrical constraints in detailed placement to prevent it from degrading timing or violating electrical constraints while reducing wire-length, thus dubbed as Hippocrates: FIRST-DO-NO-HARM optimizations. Our experimental results show great promises. By honoring these constraints, our detailed placement technique not only reduces total wirelength (TWL), but also significantly improves timing, achieving 37% better total negative slack (TNS).

[1]  David Pisinger,et al.  Local Search for Final Placement in VLSI Design , 2001, ICCAD.

[2]  Jason Cong,et al.  Multilevel generalized force-directed method for circuit placement , 2005, ISPD '05.

[3]  C. Y. Roger Chen,et al.  Detailed placement with net length constraints , 2003, The 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications, 2003. Proceedings..

[4]  L.P.P.P. van Ginneken,et al.  Buffer placement in distributed RC-tree networks for minimal Elmore delay , 1990 .

[5]  Jens Vygen,et al.  Almost optimum placement legalization by minimum cost flow and dynamic programming , 2004, ISPD '04.

[6]  Majid Sarrafzadeh,et al.  Dragon2000: standard-cell placement tool for large industry circuits , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[7]  Jeng-Liang Tsai,et al.  Sensitivity guided net weighting for placement driven synthesis , 2004, ISPD '04.

[8]  Patrick H. Madden,et al.  Fractional Cut: Improved Recursive Bisection Placement , 2003, ICCAD 2003.

[9]  Bo Hu,et al.  FAR: fixed-points addition & relaxation based placement , 2002, ISPD '02.

[10]  Amit Chowdhary,et al.  How accurately can we model timing in a placement engine? , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[11]  Sung-Woo Hur,et al.  Mongrel: hybrid techniques for standard cell placement , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[12]  Andrew B. Kahng,et al.  Can recursive bisection alone produce routable, placements? , 2000, Proceedings 37th Design Automation Conference.

[13]  Kia Bazargan,et al.  Incremental Placement for Timing Optimization , 2003, ICCAD 2003.

[14]  A. Sangiovanni-Vincentelli,et al.  The TimberWolf placement and routing package , 1985, IEEE Journal of Solid-State Circuits.

[15]  Andrew B. Kahng,et al.  Implementation and extensibility of an analytic placer , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  Andrew B. Kahng,et al.  On legalization of row-based placements , 2004, GLSVLSI '04.

[17]  K. Srihari,et al.  Optimal placement by branch-and-price , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[18]  David Z. Pan,et al.  Computational geometry based placement migration , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[19]  Jochen A. G. Jess,et al.  Gate sizing in MOS digital circuits with linear programming , 1990, Proceedings of the European Design Automation Conference, 1990., EDAC..

[20]  Massoud Pedram,et al.  Post-layout timing-driven cell placement using an accurate net length model with movable Steiner points , 2001, ASP-DAC '01.

[21]  David Z. Pan,et al.  Diffusion-based placement migration , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[22]  Chris C. N. Chu,et al.  An efficient and effective detailed placement algorithm , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[23]  Frank M. Johannes,et al.  Generic global placement and floorplanning , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[24]  Leon Stok,et al.  Transformational placement and synthesis , 2000, DATE '00.