Auxiliary Roles in STT-MRAM Memory
暂无分享,去创建一个
[1] W. Porod,et al. Domain-Wall Assisted Switching of Single-Domain Nanomagnets , 2012, IEEE Transactions on Magnetics.
[2] Eric E. Fullerton,et al. Perpendicular spin-torque switching with a synthetic antiferromagnetic reference layer , 2010 .
[3] S. Yuasa,et al. Giant tunneling magnetoresistance up to 410% at room temperature in fully epitaxial Co∕MgO∕Co magnetic tunnel junctions with bcc Co(001) electrodes , 2006 .
[4] Sanjukta Bhanja,et al. Prospects for pipeline in high-density magnetic field-coupled logic , 2014, 14th IEEE International Conference on Nanotechnology.
[5] S. M. Alam,et al. A novel design concept for high density hybrid CMOS-nanomagnetic circuits , 2012, 2012 12th IEEE International Conference on Nanotechnology (IEEE-NANO).
[6] Seong-Ook Jung,et al. A Magnetic Tunnel Junction Based Zero Standby Leakage Current Retention Flip-Flop , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[7] S. M. Alam,et al. Non-destructive variability tolerant differential read for non-volatile logic , 2012, 2012 IEEE 55th International Midwest Symposium on Circuits and Systems (MWSCAS).
[8] Chip-Hong Chang,et al. Highly reliable memory-based Physical Unclonable Function using Spin-Transfer Torque MRAM , 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).
[9] Memory in Historical Perspective , 1988 .
[10] Jon M. Slaughter,et al. Magnetoresistive random access memory using magnetic tunnel junctions , 2003, Proc. IEEE.
[11] Ralph,et al. Current-induced switching of domains in magnetic multilayer devices , 1999, Science.
[12] Engin Ipek,et al. Resistive computation: avoiding the power wall with low-leakage, STT-MRAM based computing , 2010, ISCA.
[13] G. Bertotti,et al. Nonlinear Magnetization Dynamics in Nanosystems , 2009 .
[14] Hui Zhao,et al. Probing dipole coupled nanomagnets using magnetoresistance read , 2011 .
[15] S. Yuasa,et al. Giant room-temperature magnetoresistance in single-crystal Fe/MgO/Fe magnetic tunnel junctions , 2004, Nature materials.
[16] Sanjukta Bhanja,et al. Magnetic cellular automata coplanar cross wire systems , 2010 .
[17] H. Ohno,et al. Fabrication of a Nonvolatile Full Adder Based on Logic-in-Memory Architecture Using Magnetic Tunnel Junctions , 2008 .
[18] Mohmmad T. Alam,et al. On-Chip Clocking for Nanomagnet Logic Devices , 2010, IEEE Transactions on Nanotechnology.
[19] Jayasimha Atulasimha,et al. Magnetization dynamics, throughput and energy dissipation in a universal multiferroic nanomagnetic logic gate with fan-in and fan-out , 2011, Nanotechnology.
[20] Sanjukta Bhanja,et al. Study of single layer and multilayer nano-magnetic logic architectures , 2012 .
[21] Mohammad Salehi Fashami,et al. Magnetization dynamics, Bennett clocking and associated energy dissipation in multiferroic logic. , 2010, Nanotechnology.
[22] Xg Zhang,et al. Magnetic tunnel junction sensor with Co/Pt perpendicular anisotropy ferromagnetic layer , 2009 .
[23] Sanjukta Bhanja,et al. Low power CMOS-magnetic nano-logic with increased bit controllability , 2011, 2011 11th IEEE International Conference on Nanotechnology.
[24] Wolfgang Porod,et al. Clocking magnetic field-coupled devices by domain walls , 2012 .
[25] Z. Diao,et al. Spin-transfer torque switching in magnetic tunnel junctions and spin-transfer torque random access memory , 2007 .
[26] G. Edward Suh,et al. Physical Unclonable Functions for Device Authentication and Secret Key Generation , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[27] Lionel Torres,et al. Design of MRAM based logic circuits and its applications , 2011, GLSVLSI '11.
[28] Mircea R. Stan,et al. The Promise of Nanomagnetics and Spintronics for Future Logic and Universal Memory , 2010, Proceedings of the IEEE.
[29] Jian-Gang Zhu,et al. Magnetic tunnel junctions , 2006 .
[30] H. Ohno,et al. Magnetic Tunnel Junctions for Spintronic Memories and Beyond , 2007, IEEE Transactions on Electron Devices.
[31] Wolfgang Porod,et al. Behavior of Nanomagnet Logic in the presence of thermal noise , 2010, 2010 14th International Workshop on Computational Electronics.
[32] Roel Maes,et al. Physically Unclonable Functions , 2012, Springer Berlin Heidelberg.
[33] Sanjukta Bhanja,et al. Nano Magnetic STT-Logic Partitioning for Optimum Performance , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[34] S. Yuasa,et al. Giant Tunnel Magnetoresistance in Magnetic Tunnel Junctions with a Crystalline MgO(0 0 1) Barrier , 2009 .
[35] D. Carlton,et al. Investigation of Defects and Errors in Nanomagnetic Logic Circuits , 2012, IEEE Transactions on Nanotechnology.
[36] Berger. Emission of spin waves by a magnetic multilayer traversed by a current. , 1996, Physical review. B, Condensed matter.
[37] Dmitri E. Nikonov,et al. Electric-field-induced magnetization reversal in a ferromagnet-multiferroic heterostructure. , 2011, Physical review letters.
[38] W. Porod,et al. Magnetic Properties of Enhanced Permeability Dielectrics for Nanomagnetic Logic Circuits , 2012, IEEE Transactions on Magnetics.
[39] Volkan Kursun,et al. Impact of temperature fluctuations on circuit characteristics in 180nm and 65nm CMOS technologies , 2006, 2006 IEEE International Symposium on Circuits and Systems.
[40] V. Cros,et al. Spin Transfer Torque: a new method to excite or reverse a magnetization , 2005 .
[41] Sophiane Senni,et al. Embedded memory hierarchy exploration based on magnetic RAM , 2013, 2013 IEEE Faible Tension Faible Consommation.
[42] L. Buda-Prejbeanu,et al. Fast current-induced domain-wall motion controlled by the Rashba effect. , 2011, Nature materials.
[43] K. Tsunekawa,et al. Frequency-dependent magnetoresistance and magnetocapacitance properties of magnetic tunnel junctions with MgO tunnel barrier , 2007 .
[44] C. Y. Chen,et al. A novel leakage power reduction technique for CMOS circuit design , 2010, 2010 International SoC Design Conference.
[45] J. C. Sloncxewski. Current-driven excitation of magnetic multilayers , 2003 .
[46] Tetsuya Mizuguchi,et al. MRAM with improved magnetic tunnel junction material , 2002 .
[47] A. N. Bogdanov,et al. Magnetic Domains. The Analysis of Magnetic Microstructures , 1999 .
[48] J. M. Robinson. The anatomy of memory : an anthology , 1996 .
[49] Shoji Ikeda,et al. MTJ-based nonvolatile logic-in-memory circuit, future prospects and issues , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[50] W. Porod,et al. Magnetic–Electrical Interface for Nanomagnet Logic , 2011, IEEE Transactions on Nanotechnology.
[51] Sanjukta Bhanja,et al. STT-Based Non-Volatile Logic-in-Memory Framework , 2014, Field-Coupled Nanocomputing.
[52] Sanjukta Bhanja,et al. Ultra-Low Power Hybrid CMOS-Magnetic Logic Architecture , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.
[53] Yoshinobu Ichida,et al. Highly Reliable Non-volatile Logic Circuit Technology and Its Application , 2014 .
[54] M. Zamboni,et al. Majority Voter Full Characterization for Nanomagnet Logic Circuits , 2012, IEEE Transactions on Nanotechnology.
[55] Saied N. Tehrani,et al. Recent developments in magnetic tunnel junction MRAM , 2000 .
[56] M. Durlam,et al. A 256 kb 3.0 V 1T1MTJ nonvolatile magnetoresistive RAM , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).
[57] C. Gomes. Computational Sustainability: Computational methods for a sustainable environment, economy, and society , 2009 .
[58] Claude Chappert,et al. Dynamic compact model of Spin-Transfer Torque based Magnetic Tunnel Junction (MTJ) , 2009, 2009 4th International Conference on Design & Technology of Integrated Systems in Nanoscal Era.
[59] Hao Chen,et al. On the Reliability of Computational Structures Using Majority Logic , 2011, IEEE Transactions on Nanotechnology.
[60] H. Ohno. A hybrid CMOS/magnetic tunnel junction approach for nonvolatile integrated circuits , 2006, 2009 Symposium on VLSI Technology.
[61] Jian-Ping Wang,et al. Programmable spintronics logic device based on a magnetic tunnel junction element , 2005 .
[62] Ahmad-Reza Sadeghi,et al. Memristor PUFs: A new generation of memory-based Physically Unclonable Functions , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[63] D. Makarov,et al. Magnetization reversal processes of single nanomagnets and their energy barrier , 2010 .
[64] Hui Zhao,et al. A Scaling Roadmap and Performance Evaluation of In-Plane and Perpendicular MTJ Based STT-MRAMs for High-Density Cache Memory , 2013, IEEE Journal of Solid-State Circuits.
[65] Koichiro Inomata,et al. Giant tunneling magnetoresistance up to 330% at room temperature in sputter deposited Co2FeAl/MgO/CoFe magnetic tunnel junctions , 2009 .
[66] Bernard Dieny,et al. Magnetotransport properties of magnetically soft spin‐valve structures (invited) , 1991 .
[67] V. Roychowdhury,et al. Performance of Magnetic Quantum Cellular Automata and Limitations Due to Thermal Noise , 2009, IEEE Transactions on Nanotechnology.
[68] R. Cowburn,et al. Single-Domain Circular Nanomagnets , 1999 .
[69] Yiran Chen,et al. Variation tolerant sensing scheme of Spin-Transfer Torque Memory for yield improvement , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[70] William Thomson,et al. On the Electro-Dynamic Qualities of Metals:--Effects of Magnetization on the Electric Conductivity of Nickel and of Iron , 1856 .
[71] W. Porod,et al. Implementation of a nanomagnetic full adder circuit , 2011, 2011 11th IEEE International Conference on Nanotechnology.
[72] Mariagrazia Graziano,et al. Asynchronous Solutions for Nanomagnetic Logic Circuits , 2011, JETC.
[73] Alexandra Imre,et al. Experimental study of nanomagnets for magnetic quantum-dot cellular automata (MQCA) logic applications , 2005 .
[74] Boris Skoric,et al. Anti-counterfeiting, key distribution, and key storage in an ambient world via physical unclonable functions , 2009, Inf. Syst. Frontiers.
[75] William Song,et al. Negative-resistance read and write schemes for STT-MRAM in 0.13µm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[76] Sanjukta Bhanja,et al. Recent Trends in Spintronics-Based Nanomagnetic Logic , 2014 .
[77] A. Panchula,et al. Giant tunnelling magnetoresistance at room temperature with MgO (100) tunnel barriers , 2004, Nature materials.
[78] Sanjukta Bhanja,et al. Low Power Magnetic Quantum Cellular Automata Realization Using Magnetic Multi-Layer Structures , 2011, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[79] G. Csaba,et al. Majority Gate for Nanomagnetic Logic With Perpendicular Magnetic Anisotropy , 2012, IEEE Transactions on Magnetics.
[80] S. Bhanja,et al. Magnetic Cellular Automata Wire Architectures , 2011, IEEE Transactions on Nanotechnology.
[81] T. Miyazaki,et al. Giant magnetic tunneling e ect in Fe/Al2O3/Fe junction , 1995 .
[82] I. N. Krivorotov,et al. Spin-transfer effects in nanoscale magnetic tunnel junctions , 2004, cond-mat/0404002.
[83] Yiming Huai,et al. Spin-Transfer Torque MRAM (STT-MRAM): Challenges and Prospects , 2008 .
[84] S. M. Alam,et al. Addressing the layout constraint problem when cascading logic gates in nanomagnetic logic , 2012, 2012 12th IEEE International Conference on Nanotechnology (IEEE-NANO).
[85] Mehrdad Nourani,et al. Gate-Level Redundancy: A New Design-for-Reliability Paradigm for Nanotechnologies , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[86] Miodrag Potkonjak,et al. Nano-PPUF: A Memristor-Based Security Primitive , 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.
[87] R. Jacob Baker,et al. CMOS Circuit Design, Layout, and Simulation , 1997 .
[88] Srinath Rajaram,et al. Multilayer Nanomagnetic Systems for Information Processing , 2014 .
[89] B. N. Engel,et al. Fundamentals of MRAM Technology , 2002 .
[90] Dmitri E. Nikonov,et al. Overview of Beyond-CMOS Devices and a Uniform Methodology for Their Benchmarking , 2013, Proceedings of the IEEE.
[91] J. L. Costa-Krämer,et al. Large magnetoresistance in Fe/MgO/FeCo(001) epitaxial tunnel junctions on GaAs(001) , 2001 .
[92] Wolfgang Porod,et al. Nanocomputing by field-coupled nanomagnets , 2002 .
[93] Sadamichi Maekawa,et al. Concepts in spin electronics , 2006 .
[94] D. Halupka. Effects of Silicon Variation on Nano-scale Solid-state Memories , 2012 .
[95] Garrett S. Rose,et al. A write-time based memristive PUF for hardware security applications , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[96] Sanjukta Bhanja,et al. A novel geometry based MRAM PUF , 2014, 14th IEEE International Conference on Nanotechnology.
[97] M. Julliere. Tunneling between ferromagnetic films , 1975 .
[98] A Imre,et al. Majority Logic Gate for Magnetic Quantum-Dot Cellular Automata , 2006, Science.
[99] Michael T. Niemier,et al. Performance and Energy Impact of Locally Controlled NML Circuits , 2011, JETC.
[100] Michael T. Niemier,et al. Design and comparison of NML systolic architectures , 2010, 2010 IEEE/ACM International Symposium on Nanoscale Architectures.
[101] Eric Belhaire,et al. New non‐volatile logic based on spin‐MTJ , 2008 .
[102] K. Iniewski,et al. Nanoelectronic Device Applications Handbook , 2014 .
[103] Tomasz Stobiecki,et al. Zero-Field Spin Torque Oscillator Based on Magnetic Tunnel Junctions with a Tilted CoFeB Free Layer , 2012 .
[104] Hiroshi Iwai,et al. Roadmap for 22nm and beyond , 2009 .