Timing-Aware ATPG for High Quality At-speed Testing of Small Delay Defects

In this paper, a new ATPG methodology is proposed to improve the quality of test sets generated for detecting delay defects. This is achieved by integrating timing information, e.g. from standard delay format (SDF) files, into the ATPG tool. The timing information is used to guide the test generator to detect faults through the longest paths in order to improve the ability to detect small delay detects. To avoid propagating faults through similar paths repeatedly, a weighted random method is proposed to improve the path coverage during test generation. During fault simulation, a new fault-dropping criterion, named dropping based on slack margin (DSM), is proposed to facilitate the trade-off between the test set quality and the test pattern count. The quality of the generated test set is measured by two metrics: delay test coverage and SDQL. The experimental results show that significant test quality improvement is achieved when applying timing-aware ATPG with DSM to industrial designs

[1]  Irith Pomeranz,et al.  On n-detection test sets and variable n-detection test sets for transition faults , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

[2]  Janak H. Patel,et al.  Segment delay faults: a new fault model , 1996, Proceedings of 14th VLSI Test Symposium.

[3]  V. S. Iyengar Delay test generation , 1988 .

[4]  Gordon L. Smith,et al.  Model for Delay Faults Based upon Paths , 1985, ITC.

[5]  Edward J. McCluskey,et al.  Delay defect screening using process monitor structures , 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..

[6]  Michael S. Hsiao,et al.  ALAPTF: a new transition fault model and the ATPG algorithm , 2004, 2004 International Conferce on Test.

[7]  Eric Lindbloom,et al.  Transition Fault Simulation , 1987, IEEE Design & Test of Computers.

[8]  Angela Krstic,et al.  Special Section on VLSI Design and CAD Algorithms-Timing Verification and Test Generation-Critical Path Selection for Deep Sub-Micron Delay Test and Timing Validation , 2003 .

[9]  Barry K. Rosen,et al.  Delay test generation. II. Algebra and algorithms , 1988, International Test Conference 1988 Proceeding@m_New Frontiers in Testing.

[10]  Toshiyuki Maeda,et al.  Invisible delay quality - SDQM model lights up what could not be seen , 2005, IEEE International Conference on Test, 2005..

[11]  M. Ray Mercer,et al.  Delay Testing Quality in Timing-Optimized Designs , 1991, 1991, Proceedings. International Test Conference.

[12]  Y. Sato,et al.  Evaluation of the statistical delay quality model , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[13]  Barry K. Rosen,et al.  Delay test generation. I. Concepts and coverage metrics , 1988, International Test Conference 1988 Proceeding@m_New Frontiers in Testing.

[14]  M. R. Mercer,et al.  A statistical model for delay-fault testing , 1989, IEEE Design & Test of Computers.

[15]  Janak H. Patel,et al.  Finding a small set of longest testable paths that cover every gate , 2002, Proceedings. International Test Conference.