Dynamic models for substrate coupling in mixed-mode systems

In modern monolithic integrated circuits, substrate coupling is a major concern in mixed-mode systems design. Noise injected into the common substrate by fast switching digital blocks may affect the correct functioning or performance of the overall system. Verification of such systems implies the availability of accurate and simulation-efficient substrate coupling models. For frequencies up to a few gigahertz pure resistive models are considered sufficient, but increasing frequencies of operation imply that capacitive coupling analysis also becomes mandatory.

[1]  Robert G. Meyer,et al.  Modeling and analysis of substrate coupling in integrated circuits , 1995, Proceedings of the IEEE 1995 Custom Integrated Circuits Conference.

[2]  David J. Allstot,et al.  SUBTRACT: a program for the efficient evaluation of substrate parasitics in integrated circuits , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[3]  L. M. Silveira,et al.  Multilevel finite difference methods for the characterization of substrate coupling in deep sub-micron designs , 1999, Proceedings. XII Symposium on Integrated Circuits and Systems Design (Cat. No.PR00387).

[4]  N. P. van der Meijs,et al.  Fast computation of substrate resistances in large circuits , 1996, Proceedings ED&TC European Design and Test Conference.

[5]  João Paulo Costa,et al.  Efficient techniques for accurate modeling and simulation of substrate coupling in mixed-signal IC's , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[6]  B.M.J. Kup,et al.  A bit-stream digital-to-analog converter with 18-b resolution , 1991 .

[7]  Luis Miguel Silveira,et al.  Characterizing substrate coupling in deep-submicron designs , 2002, IEEE Design & Test of Computers.

[8]  Maher Kayal,et al.  LAYIN: toward a global solution for parasitic coupling modeling and visualization , 1994, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '94.

[9]  Shoichi Masui,et al.  Experimental results and modeling techniques for substrate noise in mixed-signal integrated circuits , 1993 .

[10]  Mattan Kamon,et al.  Algorithms for coupled transient simulation of circuits and complicated 3-D packaging , 1994, 1994 Proceedings. 44th Electronic Components and Technology Conference.

[11]  Lawrence T. Pileggi,et al.  PRIMA: passive reduced-order interconnect macromodeling algorithm , 1997, ICCAD 1997.

[12]  Wen Wang,et al.  Chip Substrate Resistance Modeling Technique for Integrated Circuit Design , 1984, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[13]  N.P. van der Meijs,et al.  Extraction of circuit models for substrate cross-talk , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[14]  N. P. van der Meijs,et al.  Extraction of circuit models for substrate cross-talk , 1995, ICCAD.

[15]  Robert W. Dutton,et al.  A CAD-oriented modeling approach of frequency-dependent behavior of substrate noise coupling for mixed-signal IC design , 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..

[16]  João M. S. Silva,et al.  Substrate model extraction using finite differences and parallel multigrid , 2007, Integr..

[17]  Lawrence T. Pileggi,et al.  PRIMA: passive reduced-order interconnect macromodeling algorithm , 1998, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[18]  Eric James Grimme,et al.  Krylov Projection Methods for Model Reduction , 1997 .

[19]  Joseph D. Kanapka Fast methods for extraction and sparsification of substrate coupling , 2000, Proceedings 37th Design Automation Conference.

[20]  M. Pfost,et al.  Modeling and measurement of substrate coupling in Si-bipolar IC's up to 40 GHz , 1998 .

[21]  Luís Miguel Silveira,et al.  Simulation approaches for strongly coupled interconnect systems , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[22]  Jacob K. White,et al.  Multilevel integral equation methods for the extraction of substrate coupling parameters in mixed-signal IC's , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[23]  Xavier Aragones,et al.  Experimental comparison of substrate noise coupling using different wafer types , 1999 .

[25]  Welch,et al.  A simple approach to modeling cross-talk in integrated circuits , 1993 .

[26]  Andrew T. Yang Ivan L. Wemple Mixed-Signal Switching Noise Analysis Using Voronoi-Tessellated Substrate Macromodels , 1995, 32nd Design Automation Conference.

[27]  Rob A. Rutenbar,et al.  A methodology for rapid estimation of substrate-coupled switching noise , 1995, Proceedings of the IEEE 1995 Custom Integrated Circuits Conference.

[28]  Srinath Hosur,et al.  Transform domain techniques for efficient extraction of substrate parasitics , 1997, ICCAD 1997.

[29]  Kartikeya Mayaram,et al.  High frequency lumped element models for substrate noise coupling , 2003, Proceedings of the 2003 IEEE International Workshop on Behavioral Modeling and Simulation.

[30]  Mattan Kamon,et al.  Algorithms for Coupled Transient Simulation of Circuits andComplicated 3 - , 1994 .

[31]  Joel R. Phillips,et al.  Simulation approaches for strongly coupled interconnect systems , 2001, ICCAD 2001.

[32]  Rob A. Rutenbar,et al.  Addressing substrate coupling in mixed-mode ICs: simulation and power distribution synthesis , 1994, IEEE J. Solid State Circuits.

[33]  Roland W. Freund,et al.  Efficient linear circuit analysis by Pade´ approximation via the Lanczos process , 1994, EURO-DAC '94.