Adaptive scheduling server for power-aware real-time tasks

In this paper, we propose a novel scheduling framework for a dynamic real-time environment with energy constraints. This framework dynamically adjusts the CPU voltage/frequency so that no task in the system misses its deadline and the total energy savings of the system are maximized. In this paper, we consider only realistic, discrete-level speeds.Each task in the system consumes a certain amount of energy, which depends on a speed chosen for execution. The process of selecting speeds for execution while maximizing the energy savings of the system requires the exploration of a large number of combinations, which is too time consuming to be computed online. Thus, we propose an integrated heuristic methodology, which executes an optimization procedure in a low computation time. This scheme allows the scheduler to handle power-aware real-time tasks with low cost while maximizing the use of the available resources and without jeopardizing the temporal constraints of the system. Simulation results show that our heuristic methodology is able to generate power-aware scheduling solutions with near-optimal performance.

[1]  Chung Laung Liu,et al.  Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment , 1989, JACM.

[2]  Eugene L. Lawler,et al.  Fast approximation algorithms for knapsack problems , 1977, 18th Annual Symposium on Foundations of Computer Science (sfcs 1977).

[3]  Eugene L. Lawler,et al.  Parameterized Approximation Scheme for the Multiple Knapsack Problem , 2009, SIAM J. Comput..

[4]  T. Ibaraki,et al.  THE MULTIPLE-CHOICE KNAPSACK PROBLEM , 1978 .

[5]  David S. Johnson,et al.  Computers and Intractability: A Guide to the Theory of NP-Completeness , 1978 .

[6]  Ronald D. Armstrong,et al.  A computational study of a multiple-choice knapsack algorithm , 1983, TOMS.

[7]  Mathai Joseph,et al.  Finding Response Times in a Real-Time System , 1986, Comput. J..

[8]  James W. Layland,et al.  Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment , 1989, JACM.

[9]  Paolo Toth,et al.  Algorithms and computer implementations , 1990 .

[10]  Paolo Toth,et al.  Knapsack Problems: Algorithms and Computer Implementations , 1990 .

[11]  Anantha P. Chandrakasan,et al.  Low-power CMOS digital design , 1992 .

[12]  David Pisinger A minimal algorithm for the Multiple-choice Knapsack Problem , 1995 .

[13]  F. Frances Yao,et al.  A scheduling model for reduced CPU energy , 1995, Proceedings of IEEE 36th Annual Foundations of Computer Science.

[14]  Giorgio C. Buttazzo,et al.  Optimal deadline assignment for scheduling soft aperiodic tasks in hard real-time environments , 1997, Proceedings. Third IEEE International Conference on Engineering of Complex Computer Systems (Cat. No.97TB100168).

[15]  Miodrag Potkonjak,et al.  Synthesis techniques for low-power hard real-time systems on variable voltage processors , 1998, Proceedings 19th IEEE Real-Time Systems Symposium (Cat. No.98CB36279).

[16]  M. Potkonjak,et al.  On-line scheduling of hard real-time tasks on variable voltage processor , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[17]  Power optimization of variable voltage core-based systems , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[18]  Hiroto Yasuura,et al.  Voltage scheduling problem for dynamically variable voltage processors , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[19]  Kiyoung Choi,et al.  Power conscious fixed priority scheduling for hard real-time systems , 1999, DAC '99.

[20]  Giorgio C. Buttazzo,et al.  Optimal Deadline Assignment for Scheduling Soft Aperiodic Tasks in Hard Real-Time Environments , 1999, IEEE Trans. Computers.

[21]  Daniel Moss,et al.  Compiler-assisted dynamic power-aware scheduling for real-time applications , 2000 .

[22]  Giuseppe Lipari,et al.  Schedulability analysis of periodic and aperiodic tasks with resource constraints , 2000, J. Syst. Archit..

[23]  R.W. Brodersen,et al.  A dynamic voltage scaled microprocessor system , 2000, IEEE Journal of Solid-State Circuits.

[24]  Yann-Hang Lee,et al.  Voltage-clock-scaling adaptive scheduling techniques for low power in hard real-time systems , 2000, Proceedings Sixth IEEE Real-Time Technology and Applications Symposium. RTAS 2000.

[25]  Rami G. Melhem,et al.  Dynamic and aggressive scheduling techniques for power-aware real-time systems , 2001, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420).

[26]  Rami G. Melhem,et al.  Determining optimal processor speeds for periodic real-time tasks with different power characteristics , 2001, Proceedings 13th Euromicro Conference on Real-Time Systems.

[27]  Kang G. Shin,et al.  Real-time dynamic voltage scaling for low-power embedded operating systems , 2001, SOSP.

[28]  Alan Jay Smith,et al.  Improving dynamic voltage scaling algorithms with PACE , 2001, SIGMETRICS '01.

[29]  Krzysztof Kuchcinski,et al.  LEneS: task scheduling for low-energy systems using variable supply voltage processors , 2001, ASP-DAC '01.

[30]  Krishnendu Chakrabarty,et al.  Investigating the effect of voltage-switching on low-energy task scheduling in hard real-time systems , 2001, ASP-DAC '01.

[31]  Frank Mueller,et al.  Energy-conserving feedback EDF scheduling for embedded systems with real-time constraints , 2002, LCTES/SCOPES '02.

[32]  Sang Lyul Min,et al.  SimDVS: An Integrated Simulation Environment for Performance Evaluation of Dynamic Voltage Scaling Algorithms , 2002, PACS.

[33]  Yann-Hang Lee,et al.  Voltage-Clock-Scaling Adaptive Scheduling Techniques for Low Power in Hard Real-Time Systems , 2003, IEEE Trans. Computers.