An Elastic Architecture Adaptable to Various Application Scenarios
暂无分享,去创建一个
Lei Zhang | Tianshi Chen | Yue Wu | Qi Guo | Yunji Chen
[1] Gene M. Amdahl. The Structure of SYSTEM/360 Part III: Processing Unit Design Considerations , 1964, IBM Syst. J..
[2] Antonio González,et al. Energy-effective issue logic , 2001, ISCA 2001.
[3] Stijn Eyerman,et al. System-Level Performance Metrics for Multiprogram Workloads , 2008, IEEE Micro.
[4] SherwoodTimothy,et al. Automatically characterizing large scale program behavior , 2002 .
[5] Lizy Kurian John,et al. Subsetting the SPEC CPU2006 benchmark suite , 2007, CARN.
[6] Jaume Abella,et al. On reducing register pressure and energy in multiple-banked register files , 2003, Proceedings 21st International Conference on Computer Design.
[7] Mark Horowitz,et al. Energy dissipation in general purpose microprocessors , 1996, IEEE J. Solid State Circuits.
[8] Dean M. Tullsen,et al. Interconnections in Multi-Core Architectures: Understanding Mechanisms, Overheads and Scaling , 2005, ISCA 2005.
[9] Kevin Skadron,et al. CMP design space exploration subject to physical constraints , 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..
[10] Christopher J. Hughes,et al. Saving energy with architectural and frequency adaptations for multimedia applications , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.
[11] Michael F. P. O'Boyle,et al. Mapping parallelism to multi-cores: a machine learning based approach , 2009, PPoPP '09.
[12] Yale N. Patt,et al. Utility-Based Cache Partitioning: A Low-Overhead, High-Performance, Runtime Mechanism to Partition Shared Caches , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[13] William Y. Stevens. The Structure of SYSTEM/360 Part II: System Implementations , 1964, IBM Syst. J..
[14] R. Balasubramonian,et al. Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures , 2000, Proceedings 33rd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-33 2000.
[15] David A. Patterson,et al. Computer Architecture - A Quantitative Approach, 5th Edition , 1996 .
[16] Brad Calder,et al. Automatically characterizing large scale program behavior , 2002, ASPLOS X.
[17] Ian H. Witten,et al. Induction of model trees for predicting continuous classes , 1996 .
[18] Mikko H. Lipasti,et al. A performance methodology for commercial servers , 2000, IBM J. Res. Dev..
[19] Lieven Eeckhout,et al. Performance prediction based on inherent program similarity , 2006, 2006 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[20] Phillipp Kaestner. Computer Architecture A Quantitative Approach 2nd Edition , 2016 .
[21] Engin Ipek,et al. Core fusion: accommodating software diversity in chip multiprocessors , 2007, ISCA '07.
[22] Michael F. P. O'Boyle,et al. A Predictive Model for Dynamic Microarchitectural Adaptivity Control , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[23] Lieven Eeckhout,et al. Microarchitecture-Independent Workload Characterization , 2007, IEEE Micro.
[24] Fang Liu,et al. Understanding how off-chip memory bandwidth partitioning in Chip Multiprocessors affects system performance , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[25] Todd M. Austin,et al. SimpleScalar: An Infrastructure for Computer System Modeling , 2002, Computer.
[26] Frederick P. Brooks,et al. The Structure of SYSTEM/360 Part I: Outline of the Logical Structure , 1964, IBM Syst. J..
[27] Dean M. Tullsen,et al. Interconnections in multi-core architectures: understanding mechanisms, overheads and scaling , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[28] Lei Zhang,et al. An Elastic Architecture Adaptable to Millions of Application Scenarios , 2012, NPC.
[29] Douglas M. Hawkins,et al. Improving computer architecture simulation methodology by adding statistical rigor , 2005, IEEE Transactions on Computers.
[30] J. R. Quinlan. Learning With Continuous Classes , 1992 .
[31] Sally A. McKee,et al. Efficiently exploring architectural design spaces via predictive modeling , 2006, ASPLOS XII.
[32] Chantal Ykman-Couvreur,et al. An industrial design space exploration framework for supporting run-time resource management on multi-core systems , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[33] Lieven Eeckhout,et al. Evaluating iterative optimization across 1000 datasets , 2010, PLDI '10.
[34] Roland E. Wunderlich,et al. SMARTS: accelerating microarchitecture simulation via rigorous statistical sampling , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..
[35] Lieven Eeckhout,et al. Chip Multiprocessor Design Space Exploration through Statistical Simulation , 2009, IEEE Transactions on Computers.
[36] Dean M. Tullsen,et al. Reducing peak power with a table-driven adaptive processor core , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[37] David M. Brooks,et al. Accurate and efficient regression modeling for microarchitectural performance and power prediction , 2006, ASPLOS XII.
[38] Lieven Eeckhout,et al. Measuring benchmark similarity using inherent program characteristics , 2006, IEEE Transactions on Computers.