ROA-Brick Topology for Low-Skew Rotary Resonant Clock Network Design

This paper presents a topology-based solution for a low-skew rotary oscillator array (ROA) clock distribution network design. An ROA-brick structure is proposed that limits the traveling wave oscillation to only two uniform ring rotation directions in the ROA-brick: all the rings in clockwise (CW) direction or all the rings in counter CW direction. An ROA built from the ROA-bricks has the following advantages: 1) similar to the ROA-brick, only two uniform ring rotation directions are feasible in the ROA; 2) the same phase tapping points of all the rings in the ROA are identifiable; and 3) these same phase tapping points of the ROA are independent from the two possible rotation directions. It is mathematically proved that the ROA-brick is the only ROA structure, which can limit the ring rotation direction combinations so as to guarantee the generation of same phase clock signals. The proposed brick-based ROA clock generation and distribution networks are designed for ISPD 10 clock benchmarks demonstrating the gigahertz operation with the low-skew clock generation and distributions through HSPICE.

[1]  K.L. Shepard,et al.  A 4.6GHz resonant global clock distribution network , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[2]  Sunil P. Khatri,et al.  Clock Distribution Scheme using Coplanar Transmission Lines , 2008, 2008 Design, Automation and Test in Europe.

[3]  Baris Taskin,et al.  Process variation sensitivity of the Rotary Traveling Wave Oscillator , 2011, 2011 12th International Symposium on Quality Electronic Design.

[4]  Vinayak Honkote,et al.  Steiner tree based rotary clock routing with bounded skew and capacitive load balancing , 2011, 2011 Design, Automation & Test in Europe.

[5]  Masato Edahiro,et al.  A Clustering-Based Optimization Algorithm in Zero-Skew Routings , 1993, 30th ACM/IEEE Design Automation Conference.

[6]  Jiang Hu,et al.  Modeling, optimization and control of rotary traveling-wave oscillator , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[7]  Andrew B. Kahng,et al.  Zero-skew clock routing trees with minimum wirelength , 1992, [1992] Proceedings. Fifth Annual IEEE International ASIC Conference and Exhibit.

[8]  T.Y. Nguyen,et al.  Resonant clocking using distributed parasitic capacitance , 2004, IEEE Journal of Solid-State Circuits.

[9]  Matthew R. Guthaus,et al.  Distributed resonant clock grid synthesis (ROCKS) , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[10]  Vinayak Honkote,et al.  CROA: Design and Analysis of the Custom Rotary Oscillatory Array , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  Xun Liu,et al.  Power analysis of rotary clock , 2005, IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design (ISVLSI'05).

[12]  Jan-Ming Ho,et al.  Zero skew clock routing with minimum wirelength , 1992 .

[13]  Vinayak Honkote,et al.  ZeROA: Zero Clock Skew Rotary Oscillatory Array , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[14]  Chung-Kuan Cheng,et al.  On-chip global clock distribution using directional rotary traveling-wave oscillator , 2009, 2009 IEEE 18th Conference on Electrical Performance of Electronic Packaging and Systems.

[15]  Vernon L. Chi Salphasic Distribution of Clock Signals for Synchronous Systems , 1994, IEEE Trans. Computers.

[16]  Ruhan He,et al.  Balanced K-Means Algorithm for Partitioning Areas in Large-Scale Vehicle Routing Problem , 2009, 2009 Third International Symposium on Intelligent Information Technology Application.

[17]  Zhengtao Yu Rotary Clock based High-Frequency ASIC Design Methodology , 2007 .

[18]  J. Munkres ALGORITHMS FOR THE ASSIGNMENT AND TRANSIORTATION tROBLEMS* , 1957 .

[19]  R.-S. Tsay,et al.  Exact zero skew , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[20]  Saleh Osman,et al.  Phase-Noise Analysis in Rotary Traveling-Wave Oscillators Using Simple Physical Model , 2010, IEEE Transactions on Microwave Theory and Techniques.

[21]  P. Andreani,et al.  On the use of MOS varactors in RF VCOs , 2000, IEEE Journal of Solid-State Circuits.

[22]  S. Lipa,et al.  Rotary traveling-wave oscillator arrays: a new clock technology , 2001 .

[23]  Hao Yu,et al.  A Dividerless PLL With Low Power and Low Reference Spur by Aperture-Phase Detector and Phase-to-Analog Converter , 2013, IEEE Transactions on Circuits and Systems I: Regular Papers.

[24]  Vinayak Honkote,et al.  Skew-aware capacitive load balancing for low-power zero clock skew rotary oscillatory array , 2010, 2010 IEEE International Conference on Computer Design.

[25]  Xun Liu,et al.  Design of Rotary Clock Based Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[26]  Peter R. Kinget,et al.  A 2.2GHz PLL using a phase-frequency detector with an auxiliary sub-sampling phase detector for in-band noise suppression , 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).

[27]  Tony Wong,et al.  A rotary-traveling-wave-oscillator-based all-digital PLL with a 32-phase embedded phase-to-digital converter in 65nm CMOS , 2011, 2011 IEEE International Solid-State Circuits Conference.

[28]  Baris Taskin,et al.  Synchronization scheme for brick-based rotary oscillator arrays , 2012, GLSVLSI '12.

[29]  Baris Taskin,et al.  Custom topology rotary clock router with tree subnetworks , 2009, TODE.

[30]  Donhee Ham,et al.  Standing wave oscillators utilizing wave-adaptive tapered transmission lines , 2004, IEEE Journal of Solid-State Circuits.