Mitigating BTI-Induced Degradation in STT-MRAM Sensing Schemes
暂无分享,去创建一个
[1] William J. Gallagher,et al. Exchange-biased magnetic tunnel junctions and application to nonvolatile magnetic random access memory (invited) , 1999 .
[2] Kaushik Roy,et al. Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories , 2000, ISLPED '00.
[3] Mahmut T. Kandemir,et al. Leakage Current: Moore's Law Meets Static Power , 2003, Computer.
[4] Harish Patil,et al. Pin: building customized program analysis tools with dynamic instrumentation , 2005, PLDI '05.
[5] Yu Cao,et al. Modeling and minimization of PMOS NBTI effect for robust nanometer design , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[6] Sachin S. Sapatnekar,et al. Impact of NBTI on SRAM read stability and design for reliability , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[7] Kaushik Roy,et al. Negative Bias Temperature Instability: Estimation and Design for Improved Reliability of Nanoscale Circuits , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[8] Pradip Bose,et al. A Proactive Wearout Recovery Approach for Exploiting Microarchitectural Redundancy to Extend Cache SRAM Lifetime , 2008, 2008 International Symposium on Computer Architecture.
[9] Weisheng Zhao,et al. High Speed, High Stability and Low Power Sensing Amplifier for MTJ/CMOS Hybrid Logic Circuits , 2009, IEEE Transactions on Magnetics.
[10] Yu (Kevin) Cao,et al. What is Predictive Technology Model (PTM)? , 2009, SIGD.
[11] Maarten Vertregt,et al. A forward body bias generator for digital CMOS circuits with supply voltage scaling , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.
[12] Naehyuck Chang,et al. Energy- and endurance-aware design of phase change memory caches , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[13] Hiroto Yasuura,et al. Signal probability control for relieving NBTI in SRAM cells , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[14] Sudhanva Gurumurthi,et al. Recovery Boosting: A Technique to Enhance NBTI Recovery in SRAM Arrays , 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.
[15] Mohamed I. Elmasry,et al. Adaptive Body Bias for Reducing the Impacts of NBTI and Process Variations on 6T SRAM Cells , 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.
[16] Ilya Krivorotov,et al. Switching current reduction using perpendicular anisotropy in CoFeB-MgO magnetic tunnel junctions , 2011 .
[17] Mircea R. Stan,et al. Delivering on the promise of universal memory for spin-transfer torque RAM (STT-RAM) , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.
[18] Tony T. Kim,et al. Impacts of NBTI/PBTI on SRAM VMIN and design techniques for SRAM VMIN improvement , 2011, 2011 International SoC Design Conference.
[19] Cong Xu,et al. Device-architecture co-optimization of STT-RAM based memory for low power embedded systems , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[20] Y. J. Lee,et al. Extended scalability of perpendicular STT-MRAM towards sub-20nm MTJ node , 2011, 2011 International Electron Devices Meeting.
[21] Yuan Xie,et al. Modeling, Architecture, and Applications for Emerging Memory Technologies , 2011, IEEE Design & Test of Computers.
[22] Hamid Mahmoodi,et al. Impact of transistor aging effects on sense amplifier reliability in nano-scale CMOS , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).
[23] Jason Cong,et al. Dynamically reconfigurable hybrid cache: An energy-efficient last-level cache design , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[24] H. Noguchi,et al. Progress of STT-MRAM technology and the effect on normally-off computing systems , 2012, 2012 International Electron Devices Meeting.
[25] S. Mahapatra,et al. A consistent physical framework for N and P BTI in HKMG MOSFETs , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).
[26] B. Baas,et al. Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm , 2012 .
[27] Chih-Kong Ken Yang,et al. A body-voltage-sensing-based short pulse reading circuit for spin-torque transfer RAMs (STT-RAMs) , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).
[28] M. Gajek,et al. Spin torque switching of 20 nm magnetic tunnel junctions with perpendicular anisotropy , 2012 .
[29] Seong-Ook Jung,et al. A Novel Sensing Circuit for Deep Submicron Spin Transfer Torque MRAM (STT-MRAM) , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[30] Xueti Tang,et al. Spin-transfer torque magnetic random access memory (STT-MRAM) , 2013, JETC.
[31] M. Alam,et al. A Comparative Study of Different Physics-Based NBTI Models , 2013, IEEE Transactions on Electron Devices.
[32] Said Hamdioui,et al. BTI impact on SRAM sense amplifier , 2013, 2013 8th IEEE Design and Test Symposium.
[33] Jörg Henkel,et al. Towards interdependencies of aging mechanisms , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[34] Kaushik Roy,et al. Purdue Nanoelectronics Research Laboratory Magnetic Tunnel Junction Model , 2014 .
[35] Enrico Macii,et al. Energy/Lifetime Cooptimization by Cache Partitioning With Graceful Performance Degradation , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[36] Keith A. Jenkins,et al. A Ring-Oscillator-Based Reliability Monitor for Isolated Measurement of NBTI and PBTI in High-k/Metal Gate Technology , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[37] Yue Zhang,et al. Current-Induced Magnetic Switching for High-Performance Computing , 2015 .
[38] Francky Catthoor,et al. Integral impact of BTI and voltage temperature variation on SRAM sense amplifier , 2015, 2015 IEEE 33rd VLSI Test Symposium (VTS).
[39] Ying Wang,et al. An architecture-level cache simulation framework supporting advanced PMA STT-MRAM , 2015, Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH´15).
[40] Kaushik Roy,et al. Spin-Transfer Torque Memories: Devices, Circuits, and Systems , 2016, Proceedings of the IEEE.
[41] Y. Mitani,et al. Deep insight into process-induced pre-existing traps and PBTI stress-induced trap generations in high-k gate dielectrics through systematic RTN characterizations and ab initio calculations , 2016, 2016 IEEE Symposium on VLSI Technology.
[42] T. Schram,et al. Demonstration of an InGaAs gate stack with sufficient PBTI reliability by thermal budget optimization, nitridation, high-k material choice, and interface dipole , 2016, 2016 IEEE Symposium on VLSI Technology.