Low-energy GALS NoC with FIFO - Monitoring dynamic voltage scaling

In this paper we propose two dynamic voltage scaling (DVS) policies for a GALS NoC, which is designed based on fully asynchronous switch architectures. The first one is a history-based DVS policy, which exploits the link utilization and adjusts the voltages of different parts of the router among a few voltage levels. The second one is a FIFO-adaptive DVS, which uses two FIFO threshold levels for decision making. It judiciously adjusts supply voltage of each switch among only three voltage levels. The introduced architecture is simulated in 90nm CMOS technology with accurate Spice simulations. Experimental results show that the FIFO-adaptive DVS not only lowers the implementation cost, but also in a 86% saturated network achieves 36% energy-delay product (ED) saving compared to the DVS policy based on link utilization.

[1]  Radu Marculescu,et al.  Design and Management of Voltage-Frequency Island Partitioned Networks-on-Chip , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[2]  Antoine Fraboulet,et al.  Automatic phase detection for stochastic on-chip traffic generation , 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).

[3]  Hai Li,et al.  VSV: L2-miss-driven variable supply-voltage scaling for low power , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[4]  Alain Greiner,et al.  A generic architecture for on-chip packet-switched interconnections , 2000, DATE '00.

[5]  Fabien Clermidy,et al.  An asynchronous NOC architecture providing low latency service and its multi-level design framework , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.

[6]  Daniel Marcos Chapiro,et al.  Globally-asynchronous locally-synchronous systems , 1985 .

[7]  Pai H. Chou,et al.  An efficient voltage scaling algorithm for complex SoCs with few number of voltage modes , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

[8]  Alain Greiner,et al.  Two Efficient Synchronous Û Asynchronous Converters Well-Suited for Network on Chip in GALS Architectures , 2006, PATMOS.

[9]  Axel Jantsch,et al.  Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[10]  Manoj Sachdev,et al.  Variation-Aware Adaptive Voltage Scaling System , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  Jens Sparsø,et al.  A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip , 2005, Design, Automation and Test in Europe.

[12]  William J. Dally,et al.  Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.

[13]  Michael L. Scott,et al.  Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.

[14]  Michael L. Scott,et al.  Hiding synchronization delays in a GALS processor microarchitecture , 2004, 10th International Symposium on Asynchronous Circuits and Systems, 2004. Proceedings..

[15]  Steve Furber,et al.  Quality of Service ( QoS ) for Asynchronous On-Chip Networks , 2004 .

[16]  Alain Greiner,et al.  Two efficient synchronous <--> asynchronous converters well-suited for networks-on-chip in GALS architectures , 2008, Integr..

[17]  Ad M. G. Peeters,et al.  An asynchronous low-power 80C51 microcontroller , 1998, Proceedings Fourth International Symposium on Advanced Research in Asynchronous Circuits and Systems.

[18]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[19]  Seung Eun Lee,et al.  A variable frequency link for a power-aware network-on-chip (NoC) , 2009, Integr..

[20]  Massoud Pedram,et al.  Power Aware Design Methodologies , 2002 .

[21]  Mohammad Mirza-Aghatabar,et al.  High-Level Modeling Approach for Analyzing the Effects of Traffic Models on Power and Throughput in Mesh-Based NoCs , 2008, 21st International Conference on VLSI Design (VLSID 2008).

[22]  Luca Benini,et al.  Xpipes: A latency insensitive parameterized network-on-chip architecture for multi-processor SoCs , 2003, 2012 IEEE 30th International Conference on Computer Design (ICCD).

[23]  Radu Marculescu,et al.  Quantum-Like Effects in Network-on-Chip Buffers Behavior , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[24]  Laurent Fesquet,et al.  Dynamic Voltage Scheduling for Real Time Asynchronous Systems , 2002, PATMOS.

[25]  Ran Ginosar,et al.  An asynchronous router for multiple service levels networks on chip , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.

[26]  Kees Goossens,et al.  Concepts and Implementation of the Philips Network-on-Chip , 2003 .

[27]  Siamak Mohammadi,et al.  A High Throughput Low Power FIFO Used for GALS NoC Buffers , 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.

[28]  S. M. Fakhraie,et al.  Energy/throughput trade-off in a fully asynchronous NoC for GALS-based MPSoC architectures , 2010, 5th International Conference on Design & Technology of Integrated Systems in Nanoscale Era.

[29]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[30]  Marc Renaudin,et al.  A Power Supply Selector for Energy- and Area-Efficient Local Dynamic Voltage Scaling , 2007, PATMOS.

[31]  Alain Greiner,et al.  A Low Cost Network-on-Chip with Guaranteed Service Well Suited to the GALS Approach , 2006, 2006 1st International Conference on Nano-Networks and Workshops.

[32]  Li Shang,et al.  Dynamic voltage scaling with links for power optimization of interconnection networks , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

[33]  T. Sakurai,et al.  Run-time voltage hopping for low-power real-time systems , 2000, Proceedings 37th Design Automation Conference.

[34]  Meeta Sharma Gupta,et al.  System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[35]  Fabien Clermidy,et al.  Dynamic Voltage and Frequency Scaling Architecture for Units Integration within a GALS NoC , 2008, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).

[36]  Radu Marculescu,et al.  Statistical physics approaches for network-on-chip traffic characterization , 2009, CODES+ISSS '09.

[37]  José González,et al.  Independent Front-end and Back-end Dynamic Voltage Scaling for a GALS Microarchitecture , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

[38]  A. Greiner,et al.  Systematic comparison between the asynchronous and the multi-synchronous implementations of a network on chip architecture , 2007 .

[39]  Zhiyuan Li,et al.  Dynamic Voltage Scaling for Multitasking Real-Time Systems With Uncertain Execution Time , 2008, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[40]  Li-Shiuan Peh,et al.  Software-directed power-aware interconnection networks , 2007, ACM Trans. Archit. Code Optim..

[41]  Alain Greiner,et al.  Micro-network for SoC: implementation of a 32-port SPIN network , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[42]  T. Karnik,et al.  Area-efficient linear regulator with ultra-fast load regulation , 2005, IEEE Journal of Solid-State Circuits.

[43]  Zhiyi Yu,et al.  A 167-Processor Computational Platform in 65 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.

[44]  Alain Greiner,et al.  Multisynchronous and Fully Asynchronous NoCs for GALS Architectures , 2008, IEEE Design & Test of Computers.

[45]  Radu Marculescu,et al.  QuaLe: A Quantum-Leap Inspired Model for Non-stationary Analysis of NoC Traffic in Chip Multi-processors , 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.

[46]  Andrew Lines Nexus: an asynchronous crossbar interconnect for synchronous system-on-chip designs , 2003, 11th Symposium on High Performance Interconnects, 2003. Proceedings..