Energy-aware page replacement and consistency guarantee for hybrid NVM-DRAM memory systems
暂无分享,去创建一个
Lin Li | Wei Jiang | Yiming Zhang | Jinyu Zhan | Yixin Li | Junhuan Yang | Wei Jiang | Lin Li | Yiming Zhang | Jinyu Zhan | Junhuan Yang | Yixin Li
[1] Yiran Chen,et al. A novel architecture of the 3D stacked MRAM L2 cache for CMPs , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[2] Guangjie Han,et al. Dynamic Adaptive Replacement Policy in Shared Last-Level Cache of DRAM/PCM Hybrid Memory for Big Data Storage , 2017, IEEE Transactions on Industrial Informatics.
[3] Lin Li,et al. Dynamic Memory Management for Hybrid DRAM-NVM Main Memory Systems , 2016, 2016 13th International Conference on Embedded Software and Systems (ICESS).
[4] Jerónimo Castrillón,et al. Rethinking on-chip DRAM cache for simultaneous performance and energy optimization , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
[5] Ricardo Bianchini,et al. Page placement in hybrid memory systems , 2011, ICS '11.
[6] Chia-Lin Yang,et al. A buffer cache architecture for smartphones with hybrid DRAM/PCM memory , 2015, 2015 IEEE Non-Volatile Memory System and Applications Symposium (NVMSA).
[7] Torvald Riegel,et al. Time-Based Software Transactional Memory , 2010, IEEE Transactions on Parallel and Distributed Systems.
[8] Zhiping Jia,et al. Unified DRAM and NVM hybrid buffer cache architecture for reducing journaling overhead , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[9] Vijayalakshmi Srinivasan,et al. Enhancing lifetime and security of PCM-based Main Memory with Start-Gap Wear Leveling , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[10] Kyu Ho Park,et al. Power-aware memory management for hybrid main memory , 2011, The 2nd International Conference on Next Generation Information Technology.
[11] Reza Salkhordeh,et al. An Operating System level data migration scheme in hybrid DRAM-NVM memory architecture , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[12] Vijayalakshmi Srinivasan,et al. Scalable high performance main memory system using phase-change memory technology , 2009, ISCA '09.
[13] Cong Xu,et al. NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[14] Jongman Kim,et al. An energy- and performance-aware DRAM cache architecture for hybrid DRAM/PCM main memory systems , 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).
[15] Dimitrios S. Nikolopoulos,et al. Software-managed energy-efficient hybrid DRAM/NVM main memory , 2015, Conf. Computing Frontiers.
[16] Rachata Ausavarungnirun,et al. Row buffer locality aware caching policies for hybrid memories , 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).
[17] Gi-Ho Park,et al. NVM Way Allocation Scheme to Reduce NVM Writes for Hybrid Cache Architecture in Chip-Multiprocessors , 2017, IEEE Transactions on Parallel and Distributed Systems.
[18] Tao Li,et al. Exploring Phase Change Memory and 3D Die-Stacking for Power/Thermal Friendly, Fast and Durable Memory Architectures , 2009, 2009 18th International Conference on Parallel Architectures and Compilation Techniques.
[19] Ed Doller. Forging a future in memory: New technologies, new markets, new applications , 2010, 2010 IEEE Hot Chips 22 Symposium (HCS).
[20] Dimitrios S. Nikolopoulos,et al. Energy-Efficient Hybrid DRAM/NVM Main Memory , 2015, 2015 International Conference on Parallel Architecture and Compilation (PACT).
[21] Jun Yang,et al. A durable and energy efficient main memory using phase change memory technology , 2009, ISCA '09.
[22] Tao Zhang,et al. NVMain 2.0: A User-Friendly Memory Simulator to Model (Non-)Volatile Memory Systems , 2015, IEEE Computer Architecture Letters.
[23] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[24] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[25] Hao Wang,et al. Cost aware cache replacement policy in shared last-level cache for hybrid memory based fog computing , 2018, Enterp. Inf. Syst..
[26] Minming Li,et al. Power-Aware Variable Partitioning for DSPs With Hybrid PRAM and DRAM Main Memory , 2013, IEEE Trans. Signal Process..
[27] Cong Xu,et al. Memory and Storage System Design with Nonvolatile Memory Technologies , 2015, IPSJ Trans. Syst. LSI Des. Methodol..
[28] Onur Mutlu,et al. Architecting phase change memory as a scalable dram alternative , 2009, ISCA '09.
[29] Jian Xu,et al. NOVA: A Log-structured File System for Hybrid Volatile/Non-volatile Main Memories , 2016, FAST.
[30] John L. Henning. SPEC CPU2006 benchmark descriptions , 2006, CARN.
[31] Linpeng Huang,et al. HMVFS: A Hybrid Memory Versioning File System , 2016, 2016 32nd Symposium on Mass Storage Systems and Technologies (MSST).
[32] Lin Li,et al. Energy-aware page replacement for NVM based hybrid main memory system , 2017, 2017 IEEE 23rd International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA).
[33] Hyokyung Bahn,et al. Characterizing Memory Write References for Efficient Management of Hybrid PCM and DRAM Memory , 2011, 2011 IEEE 19th Annual International Symposium on Modelling, Analysis, and Simulation of Computer and Telecommunication Systems.
[34] Jeffrey S. Vetter,et al. AYUSH: A Technique for Extending Lifetime of SRAM-NVM Hybrid Caches , 2015, IEEE Computer Architecture Letters.
[35] Jian Yang,et al. Mojim: A Reliable and Highly-Available Non-Volatile Memory System , 2015, ASPLOS.
[36] Mircea R. Stan,et al. Advances and Future Prospects of Spin-Transfer Torque Random Access Memory , 2010, IEEE Transactions on Magnetics.