Collaborative Power Management Through Knowledge Sharing Among Multiple Devices

Rapidly evolving embedded applications continuously demand more functionalities and better performance under tight energy and thermal budgets, and maintaining high energy efficiency has become a significant design challenge for mobile devices. Learning-based methods are adaptive to dynamic conditions and show great potential for runtime power management. However, with the ever-increasing complexity of both hardware and software, it is a challenging issue for a learning agent to explore the state-action space sufficiently and quickly find an efficient management policy. In this paper, we propose a reinforcement learning-based multi-device collaborative power management approach to address this issue. Multiple devices with different runtime conditions can acquire related knowledge during the learning process. Efficient knowledge sharing among these devices can potentially accelerate the learning process and improve the quality of the learned policies. We integrate the proposed method with dynamic voltage and frequency scaling on the multicore processors in mobile devices. Experimental results on realistic applications show that the collaborative power management can achieve up to a $7 \times$ speedup and 10% energy reduction compared with state-of-the-art learning-based approaches.

[1]  Bin Wu,et al.  OpenRAM: An open-source memory compiler , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[2]  Massoud Pedram,et al.  Model-Free Reinforcement Learning and Bayesian Classification in System-Level Power Management , 2016, IEEE Transactions on Computers.

[3]  Peter Dayan,et al.  Q-learning , 1992, Machine Learning.

[4]  Haoran Li,et al.  JADE: a Heterogeneous Multiprocessor System Simulation Platform Using Recorded and Statistical Application Models , 2016, AISTECS '16.

[5]  Haoran Li,et al.  Modular reinforcement learning for self-adaptive energy efficiency optimization in multicore system , 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

[6]  Wei Liu,et al.  Adaptive power management using reinforcement learning , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[7]  Andrew W. Moore,et al.  Reinforcement Learning: A Survey , 1996, J. Artif. Intell. Res..

[8]  Feng Zhao,et al.  Towards better CPU power management on multicore smartphones , 2013, HotPower '13.

[9]  Luis Alfonso Maeda-Nunez,et al.  Learning Transfer-Based Adaptive Energy Minimization in Embedded Systems , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  Jing-Yang Jou,et al.  A learning-on-cloud power management policy for smart devices , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[11]  Alireza Shafaei,et al.  FinCACTI: Architectural Analysis and Modeling of Caches with Deeply-Scaled FinFET Devices , 2014, 2014 IEEE Computer Society Annual Symposium on VLSI.

[12]  Fabrice Paillet,et al.  FIVR — Fully integrated voltage regulators on 4th generation Intel® Core™ SoCs , 2014, 2014 IEEE Applied Power Electronics Conference and Exposition - APEC 2014.

[13]  Wai Ho Mow,et al.  A Case Study on the Communication and Computation Behaviors of Real Applications in NoC-Based MPSoCs , 2014, 2014 IEEE Computer Society Annual Symposium on VLSI.

[14]  Jing-Yang Jou,et al.  Scalable Power Management Using Multilevel Reinforcement Learning for Multiprocessors , 2014, TODE.

[15]  Gernot Heiser,et al.  An Analysis of Power Consumption in a Smartphone , 2010, USENIX Annual Technical Conference.

[16]  Massoud Pedram,et al.  Supervised Learning Based Power Management for Multicore Processors , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[17]  Majid Nili Ahmadabadi,et al.  Expertness based cooperative Q-learning , 2002, IEEE Trans. Syst. Man Cybern. Part B.

[18]  Ying Tan,et al.  Achieving autonomous power management using reinforcement learning , 2013, TODE.

[19]  Bharadwaj Veeravalli,et al.  Workload uncertainty characterization and adaptive frequency scaling for energy minimization of embedded systems , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[20]  Diana Marculescu,et al.  Distributed reinforcement learning for power limited many-core system performance optimization , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[21]  Margaret Martonosi,et al.  An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[22]  Siddharth Garg,et al.  Learning the optimal operating point for many-core systems with extended range voltage/frequency scaling , 2013, 2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[23]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[24]  Feng Qian,et al.  A close examination of performance and power characteristics of 4G LTE networks , 2012, MobiSys '12.

[25]  Yu Xiao,et al.  CasCap: cloud-assisted context-aware power management for mobile devices , 2011, MCS '11.

[26]  Carl W. Steinbach A Reinforcement-Learning Approach to Power Management , 2002 .

[27]  Diana Marculescu,et al.  Power-aware performance increase via core/uncore reinforcement control for chip-multiprocessors , 2012, ISLPED '12.

[28]  Antonia Zhai,et al.  Enabling improved power management in multicore processors through clustered DVFS , 2010, 2011 Design, Automation & Test in Europe.

[29]  Meeta Sharma Gupta,et al.  System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[30]  Qiang Xu,et al.  Learning-Based Power Management for Multicore Processors via Idle Period Manipulation , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.