Memory Systems: Cache, DRAM, Disk

Is your memory hierarchy stopping your microprocessor from performing at the high level it should be? Memory Systems: Cache, DRAM, Disk shows you how to resolve this problem. The book tells you everything you need to know about the logical design and operation, physical design and operation, performance characteristics and resulting design trade-offs, and the energy consumption of modern memory hierarchies. You learn how to to tackle the challenging optimization problems that result from the side-effects that can appear at any point in the entire hierarchy.As a result you will be able to design and emulate the entire memory hierarchy. . Understand all levels of the system hierarchy -Xcache, DRAM, and disk. . Evaluate the system-level effects of all design choices. . Model performance and energy consumption for each component in the memory hierarchy.

[1]  S. N. Piramanayagam,et al.  Data Storage on Magnetic Disks , 2008, Wiley Encyclopedia of Computer Science and Engineering.

[2]  Aamer Jaleel,et al.  Fully-Buffered DIMM Memory Architectures: Understanding Mechanisms, Overheads and Scaling , 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

[3]  Mario Blaum,et al.  Mirrored Disk Organization Reliability Analysis , 2006, IEEE Transactions on Computers.

[4]  Abdullah Al Mamun,et al.  Hard Disk Drive (Control Engineering) , 2006 .

[5]  Tong Heng Lee,et al.  Hard Disk Drive Servo Systems (Advances in Industrial Control) , 2006 .

[6]  Mahmut T. Kandemir,et al.  Energy-aware data prefetching for multi-speed disks , 2006, CF '06.

[7]  B. Jacob,et al.  In-line interrupt handling and lock-up free translation lookaside buffers (TLBs) , 2006, IEEE Transactions on Computers.

[8]  Alexander Thomasian,et al.  Optimization of online disk scheduling algorithms , 2006, PERV.

[9]  Alexander Thomasian,et al.  Multi-level RAID for very large disk arrays , 2006, PERV.

[10]  Eric Rotenberg,et al.  Retention-aware placement in DRAM (RAPID): software methods for quasi-non-volatile DRAM , 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..

[11]  Aamer Jaleel,et al.  Last level cache (LLC) performance of data mining workloads on a CMP - a case study of parallel bioinformatics workloads , 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..

[12]  Dieter Baum,et al.  An introduction to queueing theory and matrix-analytic methods , 2005 .

[13]  Rajeev Barua,et al.  Heap data allocation to scratch-pad memory in embedded systems , 2005, J. Embed. Comput..

[14]  Aamer Jaleel,et al.  DRAMsim: a memory system simulator , 2005, CARN.

[15]  Alan Jay Smith,et al.  The automatic improvement of locality in storage systems , 2005, TOCS.

[16]  Donald Yeung,et al.  BioBench: A Benchmark Suite of Bioinformatics Applications , 2005, IEEE International Symposium on Performance Analysis of Systems and Software, 2005. ISPASS 2005..

[17]  Zhao Zhang,et al.  A performance comparison of DRAM memory system optimizations for SMT processors , 2005, 11th International Symposium on High-Performance Computer Architecture.

[18]  Aamer Jaleel,et al.  Using virtual load/store queues (VLSQs) to reduce the negative effects of reordered memory instructions , 2005, 11th International Symposium on High-Performance Computer Architecture.

[19]  S. Borkar,et al.  Circuit techniques for subthreshold leakage avoidance, control and tolerance , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[20]  Scott Rixner,et al.  Memory Controller Optimizations for Web Servers , 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).

[21]  Calvin Lin,et al.  Adaptive History-Based Memory Schedulers , 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).

[22]  R. Jacob Baker,et al.  CMOS Circuit Design, Layout, and Simulation, Second Edition , 2004 .

[23]  Luca Benini,et al.  A post-compiler approach to scratchpad mapping of code , 2004, CASES '04.

[24]  Faye A. Briggs,et al.  A study of performance impact of memory controller features in multi-processor server environment , 2004, WMPI '04.

[25]  Peter Marwedel,et al.  Compiler-optimized usage of partitioned memories , 2004, WMPI '04.

[26]  Jack W. Davidson,et al.  EMBARC: an efficient memory bank assignment algorithm for retargetable compilers , 2004, LCTES '04.

[27]  Y.I. Kim,et al.  Thermal degradation of DRAM retention time: Characterization and improving techniques , 2004, 2004 IEEE International Reliability Physics Symposium. Proceedings.

[28]  Alan Jay Smith,et al.  The performance impact of I/O optimizations and disk improvements , 2004, IBM J. Res. Dev..

[29]  Lizy Kurian John,et al.  More on finding a single number to indicate overall performance of a benchmark suite , 2004, CARN.

[30]  Peter Marwedel,et al.  Cache-aware scratchpad allocation algorithm , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[31]  L. Geppert A static RAM says goodbye to data errors [radiation induced soft errors] , 2004, IEEE Spectrum.

[32]  David Blaauw,et al.  Circuit and microarchitectural techniques for reducing cache leakage power , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[33]  T. N. Vijaykumar,et al.  Distance associativity for high-performance energy-efficient non-uniform cache architectures , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[34]  László Böszörményi,et al.  A survey of Web cache replacement strategies , 2003, CSUR.

[35]  Jung-ho Huh,et al.  Hierarchical disk cache management in RAID 5 controller , 2003 .

[36]  Bruce Jacob,et al.  The Performance and Energy Consumption of Embedded Real-Time Operating Systems , 2003, IEEE Trans. Computers.

[37]  Sumesh Udayakumaran,et al.  Compiler-decided dynamic memory allocation for scratch-pad based embedded systems , 2003, CASES '03.

[38]  Bruce Jacob,et al.  A control-theoretic approach to dynamic voltage scheduling , 2003, CASES '03.

[39]  Luca Benini,et al.  Polynomial-time algorithm for on-chip scratchpad memory partitioning , 2003, CASES '03.

[40]  Bruce Jacob,et al.  Hardware support for real-time operating systems , 2003, First IEEE/ACM/IFIP International Conference on Hardware/ Software Codesign and Systems Synthesis (IEEE Cat. No.03TH8721).

[41]  Gregory R. Ganger,et al.  Object-based storage , 2003, IEEE Commun. Mag..

[42]  A.S. Hoagland,et al.  History of magnetic disk storage based on perpendicular magnetic recording , 2003, Joint NAPMRC 2003. Digest of Technical Papers.

[43]  Bruce Jacob,et al.  A Case for Studying DRAM Issues at the System Level , 2003, IEEE Micro.

[44]  Mahmut T. Kandemir,et al.  DRPM: dynamic speed control for power management in server class disks , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..

[45]  Josep Torrellas,et al.  Correlation Prefetching with a User-Level Memory Thread , 2003, IEEE Trans. Parallel Distributed Syst..

[46]  Erik Riedel,et al.  More Than an Interface - SCSI vs. ATA , 2003, FAST.

[47]  Margaret Martonosi,et al.  TCP: tag correlating prefetchers , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

[48]  Kaushik Roy,et al.  A single-Vt low-leakage gated-ground cache for deep submicron , 2003, IEEE J. Solid State Circuits.

[49]  Jarkko Niittylahti,et al.  A comparison of precharge policies with modern DRAM architectures , 2002, 9th International Conference on Electronics, Circuits and Systems.

[50]  Brad Calder,et al.  Pointer cache assisted prefetching , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..

[51]  Rajeev Barua,et al.  An optimal memory allocation scheme for scratch-pad-based embedded systems , 2002, TECS.

[52]  Dirk Grunwald,et al.  A stateless, content-directed data prefetching mechanism , 2002, ASPLOS X.

[53]  Doug Burger,et al.  An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches , 2002, ASPLOS X.

[54]  Peter Marwedel,et al.  Reducing energy consumption by dynamic copying of instructions onto onchip memory , 2002, 15th International Symposium on System Synthesis, 2002..

[55]  Chang Liu,et al.  Disk scheduling policies with lookahead , 2002, PERV.

[56]  Joshua B. Fryman,et al.  Software caching using dynamic binary rewriting for embedded devices , 2002, Proceedings International Conference on Parallel Processing.

[57]  Mircea R. Stan,et al.  Circuit-level techniques to control gate leakage for sub-100nm CMOS , 2002, ISLPED '02.

[58]  Puneet Gupta,et al.  Hardware-software codesign , 2002 .

[59]  D. Weiss,et al.  The on-chip 3 MB subarray based 3rd level cache on an Itanium microprocessor , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[60]  T. Grutkowski,et al.  The high-bandwidth 256 kB 2nd level cache on an Itanium microprocessor , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[61]  S. Iwasaki Perpendicular magnetic recording focused on the origin and its significance , 2002 .

[62]  N. Honda,et al.  Design consideration of ultrahigh-density perpendicular magnetic recording media , 2002 .

[63]  G. F. Hughes Patterned media recording systems - the potential and the problems , 2002 .

[64]  Yiming Hu,et al.  Can large disk built-in caches really improve system performance? , 2002, SIGMETRICS '02.

[65]  J. Torrellas,et al.  Using a user-level memory thread for correlation prefetching , 2002, Proceedings 29th Annual International Symposium on Computer Architecture.

[66]  M. Martonosi,et al.  Timekeeping in the memory system: predicting and optimizing memory behavior , 2002, Proceedings 29th Annual International Symposium on Computer Architecture.

[67]  Martin Elsman,et al.  Combining region inference and garbage collection , 2002, PLDI '02.

[68]  Peter Marwedel,et al.  Scratchpad memory: a design alternative for cache on-chip memory in embedded systems , 2002, Proceedings of the Tenth International Symposium on Hardware/Software Codesign. CODES 2002 (IEEE Cat. No.02TH8627).

[69]  Peter Marwedel,et al.  Assigning program and data objects to scratchpad for energy reduction , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[70]  Faye A. Briggs,et al.  Intel 870: a building block for cost-effective, scalable servers , 2002, IEEE Micro.

[71]  Aamer Jaleel,et al.  Improving the Precise Interrupt Mechanism of Software-Managed TLB Miss Handlers , 2001, HiPC.

[72]  Zhao Zhang,et al.  Breaking Address Mapping Symmetry at Multi-levels of Memory Heirarchy to Reduce DRAM Row-buffer Conflicts , 2001, J. Instr. Level Parallelism.

[73]  C. M. Krishna,et al.  Cool-cache for hot multimedia , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.

[74]  Carl von Platen,et al.  Storage allocation for embedded processors , 2001, CASES '01.

[75]  Bruce Jacob,et al.  The performance and energy consumption of three embedded real-time operating systems , 2001, CASES '01.

[76]  Bruce Jacob,et al.  Transparent data-memory organizations for digital signal processors , 2001, CASES '01.

[77]  Rajeev Barua,et al.  Heterogeneous memory management for embedded systems , 2001, CASES '01.

[78]  Mahmut T. Kandemir,et al.  Hardware and Software Techniques for Controlling DRAM Power Modes , 2001, IEEE Trans. Computers.

[79]  Zhen Fang,et al.  The Impulse Memory Controller , 2001, IEEE Trans. Computers.

[80]  Trevor N. Mudge,et al.  High-Performance DRAMs in Workstation Environments , 2001, IEEE Trans. Computers.

[81]  Bharadwaj Amrutur,et al.  Fast low-power decoders for RAMs , 2001, IEEE J. Solid State Circuits.

[82]  Aamer Jaleel,et al.  In-line interrupt handling for software-managed TLBs , 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

[83]  Krisztián Flautner,et al.  Automatic Performance Setting for Dynamic Voltage Scaling , 2001, MobiCom '01.

[84]  Mahmut T. Kandemir,et al.  Dynamic management of scratch-pad memory space , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[85]  Frédéric Vivien,et al.  Incrementalized pointer and escape analysis , 2001, PLDI '01.

[86]  Babak Falsafi,et al.  Dead-block prediction & dead-block correlating prefetchers , 2001, Proceedings 28th Annual International Symposium on Computer Architecture.

[87]  M. Martonosi,et al.  Cache decay: exploiting generational behavior to reduce cache leakage power , 2001, Proceedings 28th Annual International Symposium on Computer Architecture.

[88]  K. Gharachorloo,et al.  Code layout optimizations for transaction processing workloads , 2001, Proceedings 28th Annual International Symposium on Computer Architecture.

[89]  Bruce Jacob,et al.  Concurrency, latency, or system overhead: Which has the largest impact on uniprocessor DRAM-system performance? , 2001, Proceedings 28th Annual International Symposium on Computer Architecture.

[90]  Christopher J. Hughes,et al.  Memory-Side Prefetching for Linked Data Structures , 2001 .

[91]  Trevor N. Mudge,et al.  Uniprocessor Virtual Memory without TLBs , 2001, IEEE Trans. Computers.

[92]  Shiro Kamohara,et al.  A new method for predicting distribution of DRAM retention time , 2001, 2001 IEEE International Reliability Physics Symposium Proceedings. 39th Annual (Cat. No.00CH37167).

[93]  Guang R. Gao,et al.  Speculative Prefetching of Induction Pointers , 2001, CC.

[94]  P.P. Gelsinger,et al.  Microprocessors for the new millennium: Challenges, opportunities, and new frontiers , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[95]  Kenichi Osada,et al.  Universal-Vdd 0.65-2.0-V 32-kB cache using a voltage-adapted timing-generation scheme and a lithographically symmetrical cell , 2001, IEEE J. Solid State Circuits.

[96]  Wei-Fen Lin,et al.  Reducing DRAM latencies with an integrated memory hierarchy design , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

[97]  G. Sohi,et al.  A static power model for architects , 2000, Proceedings 33rd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-33 2000.

[98]  Xiaodong Zhang,et al.  A permutation-based page interleaving scheme to reduce row-buffer conflicts and exploit data locality , 2000, Proceedings 33rd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-33 2000.

[99]  T. Austin,et al.  Compiler controlled value prediction using branch predictor based confidence , 2000, Proceedings 33rd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-33 2000.

[100]  T. Sherwood,et al.  Predictor-directed stream buffers , 2000, Proceedings 33rd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-33 2000.

[101]  Csaba Andras Moritz,et al.  FlexCache: A Framework for Flexible Compiler Generated Data Caching , 2000, Intelligent Memory Systems.

[102]  Chenming Hu,et al.  Direct tunneling gate leakage current in transistors with ultrathin silicon nitride gate dielectric , 2000, IEEE Electron Device Letters.

[103]  Gary S. Tyson,et al.  Region-based caching: an energy-delay efficient memory architecture for embedded processors , 2000, CASES '00.

[104]  L. Richard Carley,et al.  MEMS-based integrated-circuit mass-storage systems , 2000, CACM.

[105]  Manish Gupta,et al.  Power-Aware Microarchitecture: Design and Modeling Challenges for Next-Generation Microprocessors , 2000, IEEE Micro.

[106]  Philip Levis,et al.  Policies for dynamic clock scheduling , 2000, OSDI.

[107]  Trevor N. Mudge,et al.  The New DRAM Interfaces: SDRAM, RDRAM and Variants , 2000, ISHPC.

[108]  Amin Vahdat,et al.  Every joule is precious: the case for revisiting operating system design for energy efficiency , 2000, ACM SIGOPS European Workshop.

[109]  Bruce Jacob,et al.  Real-Time Memory Management: Compile-Time Techniques and Run-Time Mechanisms that Enable the Use of Caches in Real-Time Systems , 2000 .

[110]  Bill Moyer,et al.  A low power unified cache architecture providing power and performance flexibility , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).

[111]  Thomas D. Burd,et al.  Voltage scheduling in the IpARM microprocessor system , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).

[112]  T. N. Vijaykumar,et al.  Gated-V/sub dd/: a circuit technique to reduce leakage in deep-submicron cache memories , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).

[113]  Nikil D. Dutt,et al.  On-chip vs. off-chip memory: the data partitioning problem in embedded processor-based systems , 2000, TODE.

[114]  Trevor Mudge,et al.  DDR2 and Low Latency Variants , 2000 .

[115]  Niraj K. Jha,et al.  Power analysis of embedded operating systems , 2000, Proceedings 37th Design Automation Conference.

[116]  Srinivas Devadas,et al.  Application-specific memory management for embedded systems using software-controlled caches , 2000, Proceedings 37th Design Automation Conference.

[117]  Sally A. McKee,et al.  Hardware-only stream prefetching and dynamic access ordering , 2000, ICS '00.

[118]  Chia-Lin Yang,et al.  Push vs. pull: data movement for linked data structures , 2000, ICS '00.

[119]  Manuvir Das,et al.  Unification-based pointer analysis with directional assignments , 2000, PLDI '00.

[120]  Richard E. Kessler,et al.  Performance analysis of the Alpha 21264-based Compaq ES40 system , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[121]  Margaret Martonosi,et al.  Value-based clock gating and operation packing: dynamic strategies for improving processor power and performance , 2000, TOCS.

[122]  William J. Dally,et al.  Memory access scheduling , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[123]  Mahmut T. Kandemir,et al.  Energy-driven integrated hardware-software optimizations using SimplePower , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[124]  Steven K. Reinhardt,et al.  A fully associative software-managed cache design , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[125]  Margaret Martonosi,et al.  Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[126]  Bradley C. Kuszmaul,et al.  Circuits for wide-window superscalar processors , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[127]  M.A. Horowitz,et al.  Speed and power scaling of SRAM's , 2000, IEEE Journal of Solid-State Circuits.

[128]  Per Stenström,et al.  A prefetching technique for irregular accesses to linked data structures , 2000, Proceedings Sixth International Symposium on High-Performance Computer Architecture. HPCA-6 (Cat. No.PR00550).

[129]  Bruce Jacob,et al.  Organizational Design Trade-Offs at the DRAM, Memory Bus, and Memory Controller Level: Initial Results , 1999 .

[130]  Larry Carter,et al.  Localizing non-affine array references , 1999, 1999 International Conference on Parallel Architectures and Compilation Techniques (Cat. No.PR00425).

[131]  Bruno Blanchet,et al.  Escape analysis for object-oriented languages: application to Java , 1999, OOPSLA '99.

[132]  L. Benini,et al.  System-level power optimization: techniques and tools , 1999, ISLPED '99.

[133]  Trevor Mudge,et al.  Smart Register Files for High-Performance Microprocessors , 1999 .

[134]  Ken Kennedy,et al.  Improving memory hierarchy performance for irregular applications , 1999, ICS '99.

[135]  Edward A. Lee,et al.  Synthesis of Embedded Software from Synchronous Dataflow Specifications , 1999, J. VLSI Signal Process..

[136]  Toshiki Hirano,et al.  Dual-stage servo controller for HDD using MEMS microactuator , 1999 .

[137]  A. Taratorin,et al.  Magnetic Information Storage Technology , 1999 .

[138]  M. Dubois,et al.  Tolerating late memory traps in ILP processors , 1999, Proceedings of the 26th International Symposium on Computer Architecture (Cat. No.99CB36367).

[139]  V. Cuppu,et al.  A performance comparison of contemporary DRAM architectures , 1999, Proceedings of the 26th International Symposium on Computer Architecture (Cat. No.99CB36367).

[140]  G. Sohi,et al.  Effective jump-pointer prefetching for linked data structures , 1999, Proceedings of the 26th International Symposium on Computer Architecture (Cat. No.99CB36367).

[141]  Ken Kennedy,et al.  Improving cache performance in dynamic applications through data and computation reorganization at run time , 1999, PLDI '99.

[142]  James R. Larus,et al.  Cache-conscious structure layout , 1999, PLDI '99.

[143]  Carla Schlatter Ellis,et al.  The case for higher-level power management , 1999, Proceedings of the Seventh Workshop on Hot Topics in Operating Systems.

[144]  Mahadev Satyanarayanan,et al.  PowerScope: a tool for profiling the energy usage of mobile applications , 1999, Proceedings WMCSA'99. Second IEEE Workshop on Mobile Computing Systems and Applications.

[145]  Arun Iyengar,et al.  Design and performance of a general-purpose software cache , 1999, 1999 IEEE International Performance, Computing and Communications Conference (Cat. No.99CH36305).

[146]  Margaret Martonosi,et al.  Dynamically exploiting narrow width operands to improve processor power and performance , 1999, Proceedings Fifth International Symposium on High-Performance Computer Architecture.

[147]  Sally A. McKee,et al.  Access order and effective bandwidth for streams on a Direct Rambus memory , 1999, Proceedings Fifth International Symposium on High-Performance Computer Architecture.

[148]  Kazuaki Murakami,et al.  Dynamically variable line-size cache exploiting high on-chip memory bandwidth of merged DRAM/logic LSIs , 1999, Proceedings Fifth International Symposium on High-Performance Computer Architecture.

[149]  Erik Brunvand,et al.  Impulse: building a smarter memory controller , 1999, Proceedings Fifth International Symposium on High-Performance Computer Architecture.

[150]  K. Yoshida,et al.  A 1.9-/spl mu/m/sup 2/ loadless CMOS four-transistor SRAM cell in a 0.18-/spl mu/m logic technology , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[151]  Bruce Jacob,et al.  Software-Managed Caches: Architectural Support for Real-Time Embedded Systems , 1998 .

[152]  Hugo De Man,et al.  Formalized methodology for data reuse: exploration for low-power hierarchical memory mappings , 1998, IEEE Trans. Very Large Scale Integr. Syst..

[153]  Ron Ho,et al.  Low-power SRAM design using half-swing pulse-mode techniques , 1998, IEEE J. Solid State Circuits.

[154]  Todd C. Mowry,et al.  Cooperative prefetching: compiler and hardware support for effective instruction prefetching in modern processors , 1998, Proceedings. 31st Annual ACM/IEEE International Symposium on Microarchitecture.

[155]  Yuan Taur,et al.  Fundamentals of Modern VLSI Devices , 1998 .

[156]  D. Sciuto,et al.  System-level performance estimation strategy for sw and hw , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

[157]  Matthew L. Seidl,et al.  Segregating heap objects by reference behavior and lifetime , 1998, ASPLOS VIII.

[158]  Andreas Moshovos,et al.  Dependence based prefetching for linked data structures , 1998, ASPLOS VIII.

[159]  Sarita V. Adve,et al.  Performance of database workloads on shared-memory systems with out-of-order processors , 1998, ASPLOS VIII.

[160]  Chandra Krintz,et al.  Cache-conscious data placement , 1998, ASPLOS VIII.

[161]  W. Liu,et al.  Wave-pipelining: a tutorial and research survey , 1998, IEEE Trans. Very Large Scale Integr. Syst..

[162]  Thomas D. Burd,et al.  The simulation and evaluation of dynamic voltage scaling algorithms , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[163]  Mark C. Johnson,et al.  Estimation of standby leakage power in CMOS circuits considering accurate modeling of transistor stacks , 1998, ISLPED '98.

[164]  Donald E. Thomas,et al.  Memory modeling for system synthesis , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[165]  Bharadwaj Amrutur,et al.  A replica technique for wordline and sense control in low-power SRAM's , 1998, IEEE J. Solid State Circuits.

[166]  B. Shriver,et al.  The Anatomy of a High Performance Microprocessor (Interactive Book/CD-ROM): A Systems Perspective with Cdrom , 1998 .

[167]  Gary S. Tyson,et al.  Utilizing reuse information in data cache management , 1998, ICS '98.

[168]  Josep Torrellas,et al.  Comparing data forwarding and prefetching for communication-induced misses in shared-memory MPs , 1998, ICS '98.

[169]  Víctor Viñals,et al.  Characterization and improvement of load/store cache-based prefetching , 1998, ICS '98.

[170]  Trevor N. Mudge,et al.  Virtual memory in contemporary microprocessors , 1998, IEEE Micro.

[171]  Vivek De,et al.  A new technique for standby leakage reduction in high-performance circuits , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).

[172]  William J. Dally,et al.  Digital systems engineering , 1998 .

[173]  Trevor N. Mudge,et al.  Virtual Memory: Issues of Implementation , 1998, Computer.

[174]  Uming Ko,et al.  Energy optimization of multilevel cache architectures for RISC and CISC processors , 1998, IEEE Trans. Very Large Scale Integr. Syst..

[175]  Larry L. Biro,et al.  Power considerations in the design of the Alpha 21264 microprocessor , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[176]  Donald E. Knuth,et al.  The Art of Computer Programming: Volume 3: Sorting and Searching , 1998 .

[177]  L. Stoller,et al.  Increasing TLB reach using superpages backed by shadow memory , 1998, Proceedings. 25th Annual International Symposium on Computer Architecture (Cat. No.98CB36235).

[178]  K. Gharachorloo,et al.  Memory system characterization of commercial workloads , 1998, Proceedings. 25th Annual International Symposium on Computer Architecture (Cat. No.98CB36235).

[179]  D. Patterson,et al.  Performance characterization of a quad Pentium Pro SMP using OLTP workloads , 1998, Proceedings. 25th Annual International Symposium on Computer Architecture (Cat. No.98CB36235).

[180]  M. Usami,et al.  A 1.8 ns access, 550 MHz 4.5 Mb CMOS SRAM , 1998, 1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, ISSCC. First Edition (Cat. No.98CH36156).

[181]  Todd C. Mowry,et al.  Tolerating latency in multiprocessors through compiler-inserted prefetching , 1998, TOCS.

[182]  Bruno Blanchet,et al.  Escape analysis: correctness proof, implementation and experimental results , 1998, POPL '98.

[183]  James E. Smith,et al.  The predictability of data values , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[184]  Trevor N. Mudge,et al.  The bi-mode branch predictor , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[185]  Trevor N. Mudge,et al.  Improving code density using compression techniques , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[186]  William H. Mangione-Smith,et al.  The filter cache: an energy efficient memory structure , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[187]  Miodrag Potkonjak,et al.  MediaBench: a tool for evaluating and synthesizing multimedia and communications systems , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[188]  Brad Calder,et al.  Procedure placement using temporal ordering information , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[189]  Nobuyuki Shimizu,et al.  Multimedia LSI accelerator with embedded DRAM , 1997, IEEE Micro.

[190]  Richard Crisp,et al.  Direct RAMbus technology: the new main memory standard , 1997, IEEE Micro.

[191]  Toru Shimizu,et al.  M32R/D-integrating DRAM and microprocessor , 1997, IEEE Micro.

[192]  Miodrag Potkonjak,et al.  Real-time operating systems for embedded computing , 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.

[193]  Trevor N. Mudge,et al.  A Comment on "An Analytical Model for Designing Memory Hierarchies" , 1997, IEEE Trans. Computers.

[194]  Tomás Lang,et al.  Reducing TLB power requirements , 1997, Proceedings of 1997 International Symposium on Low Power Electronics and Design.

[195]  Douglas J. Joseph,et al.  Prefetching Using Markov Predictors , 1997, Conference Proceedings. The 24th Annual International Symposium on Computer Architecture.

[196]  K. Ebcioglu,et al.  Daisy: Dynamic Compilation For 10o?40 Architectural Compatibility , 1997, Conference Proceedings. The 24th Annual International Symposium on Computer Architecture.

[197]  Wen-mei W. Hwu,et al.  Run-time Adaptive Cache Hierarchy Via Reference Analysis , 1997, Conference Proceedings. The 24th Annual International Symposium on Computer Architecture.

[198]  P. Chow,et al.  Memory-system Design Considerations For Dynamically-scheduled Processors , 1997, Conference Proceedings. The 24th Annual International Symposium on Computer Architecture.

[199]  Todd M. Austin,et al.  The SimpleScalar tool set, version 2.0 , 1997, CARN.

[200]  Farid N. Najm,et al.  A gate-level leakage power reduction method for ultra-low-power CMOS circuits , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.

[201]  N. Robertson,et al.  High Performance Write Head Using NiFe 45/55 , 1997, 1997 IEEE International Magnetics Conference (INTERMAG'97).

[202]  Edward A. Lee,et al.  DSP Processor Fundamentals: Architectures and Features , 1997 .

[203]  K. Nakamura,et al.  A 500 MHz 4 Mb CMOS pipeline-burst cache SRAM with point-to-point noise reduction coding I/O , 1997, 1997 IEEE International Solids-State Circuits Conference. Digest of Technical Papers.

[204]  S. M. Shahrier,et al.  On predictability and optimization of multiprogrammed caches for real-time applications , 1997, 1997 IEEE International Performance, Computing and Communications Conference.

[205]  Trevor N. Mudge,et al.  Software-managed address translation , 1997, Proceedings Third International Symposium on High-Performance Computer Architecture.

[206]  Dileep Bhandarkar,et al.  Performance characterization of the Pentium Pro processor , 1997, Proceedings Third International Symposium on High-Performance Computer Architecture.

[207]  Eric Rotenberg,et al.  Trace cache: a low latency approach to high bandwidth instruction fetching , 1996, Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture. MICRO 29.

[208]  Trevor N. Mudge,et al.  Wrong-path instruction prefetching , 1996, Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture. MICRO 29.

[209]  Stuart R. Ball,et al.  Embedded Microprocessor Systems: Real World Design , 1996 .

[210]  Anna R. Karlin,et al.  Implementation and performance of integrated application-controlled file caching, prefetching, and disk scheduling , 1996, TOCS.

[211]  Zheng Wang,et al.  Using latency to evaluate interactive system performance , 1996, OSDI '96.

[212]  Todd C. Mowry,et al.  Compiler-based prefetching for recursive data structures , 1996, ASPLOS VII.

[213]  Trevor N. Mudge,et al.  An Analytical Model for Designing Memory Hierarchies , 1996, IEEE Trans. Computers.

[214]  Jeffrey C. Mogul,et al.  Hinted caching in the web , 1996, EW 7.

[215]  Mark Horowitz,et al.  Energy dissipation in general purpose microprocessors , 1996, IEEE J. Solid State Circuits.

[216]  Edward S. Davidson,et al.  Reducing conflicts in direct-mapped caches with a temporality-based design , 1996, Proceedings of the 1996 ICPP Workshop on Challenges for Parallel Processing.

[217]  Jeffrey C. Mogul,et al.  Using predictive prefetching to improve World Wide Web latency , 1996, CCRV.

[218]  Edward A. Lee,et al.  Software Synthesis from Dataflow Graphs , 1996 .

[219]  Kenneth M. Wilson,et al.  Increasing Cache Port Efficiency for Dynamic Superscalar Microprocessors , 1996, 23rd Annual International Symposium on Computer Architecture (ISCA'96).

[220]  D. Burger,et al.  Memory Bandwidth Limitations of Future Microprocessors , 1996, 23rd Annual International Symposium on Computer Architecture (ISCA'96).

[221]  K. Olukotun,et al.  Evaluation of Design Alternatives for a Multiprocessor Microprocessor , 1996, 23rd Annual International Symposium on Computer Architecture (ISCA'96).

[222]  Fong Pong,et al.  Missing the Memory Wall: The Case for Processor/Memory Integration , 1996, 23rd Annual International Symposium on Computer Architecture (ISCA'96).

[223]  Norman P. Jouppi,et al.  CACTI: an enhanced cache access and cycle time model , 1996, IEEE J. Solid State Circuits.

[224]  N. Schirle,et al.  History and trends in the development of motorized spindles for hard disk drives , 1996 .

[225]  Kenneth C. Yeager The Mips R10000 superscalar microprocessor , 1996, IEEE Micro.

[226]  C. Mee,et al.  Magnetic Recording Technology , 1996 .

[227]  Richard T. Witek,et al.  A 160 MHz 32 b 0.5 W CMOS RISC microprocessor , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.

[228]  Thomas Alexander,et al.  Distributed prefetch-buffer/cache design for high performance memory systems , 1996, Proceedings. Second International Symposium on High-Performance Computer Architecture.

[229]  Alan L. Cox,et al.  A comparison of entry consistency and lazy release consistency implementations , 1996, Proceedings. Second International Symposium on High-Performance Computer Architecture.

[230]  Basem A. Nayfeh,et al.  The impact of shared-cache clustering in small-scale shared-memory multiprocessors , 1996, Proceedings. Second International Symposium on High-Performance Computer Architecture.

[231]  Stamatis Vassiliadis,et al.  Precise Interrupts , 1996, IEEE Micro.

[232]  Thomas M. Kroeger,et al.  Predicting file system actions from prior events , 1996 .

[233]  Anoop Gupta,et al.  The impact of architectural trends on operating system performance , 1995, SOSP.

[234]  Jim Zelenka,et al.  Informed prefetching and caching , 1995, SOSP.

[235]  Jochen Liedtke,et al.  On micro-kernel construction , 1995, SOSP.

[236]  Mark D. Hill,et al.  A new page table for 64-bit address spaces , 1995, SOSP.

[237]  Hal Wasserman,et al.  Comparing algorithm for dynamic speed-setting of a low-power CPU , 1995, MobiCom '95.

[238]  Gary S. Tyson,et al.  A modified approach to data cache management , 1995, Proceedings of the 28th Annual International Symposium on Microarchitecture.

[239]  Frank Mueller,et al.  Compiler support for software-based cache partitioning , 1995, Workshop on Languages, Compilers, & Tools for Real-Time Systems.

[240]  Richard L. Sites,et al.  Alpha Architecture Reference Manual , 1995 .

[241]  Anne Rogers,et al.  Software caching and computation migration in Olden , 1995, PPOPP '95.

[242]  Mike Tien-Chien Lee,et al.  Power analysis of a 32-bit embedded microcontroller , 1995, Proceedings of ASP-DAC'95/CHDL'95/VLSI'95 with EDA Technofair.

[243]  Margaret Martonosi,et al.  Informing Loads: Enabling Software to Observe and React to Memory Behavior , 1995 .

[244]  Anantha P. Chandrakasan,et al.  Low Power Digital CMOS Design , 1995 .

[245]  Azer Bestavros,et al.  Application-level document caching in the Internet , 1995, Second International Workshop on Services in Distributed and Networked Environments.

[246]  Dirk Grunwald,et al.  Next cache line and set prediction , 1995, Proceedings 22nd Annual International Symposium on Computer Architecture.

[247]  Yale N. Patt,et al.  On-line extraction of SCSI disk drive parameters , 1995, SIGMETRICS '95/PERFORMANCE '95.

[248]  J. Torrellas,et al.  Speeding up irregular applications in shared-memory multiprocessors: memory binding and group prefetching , 1995, Proceedings 22nd Annual International Symposium on Computer Architecture.

[249]  Burzin A. Patel,et al.  Optimization of instruction fetch mechanisms for high issue rates , 1995, Proceedings 22nd Annual International Symposium on Computer Architecture.

[250]  Anna R. Karlin,et al.  A study of integrated prefetching and caching strategies , 1995, SIGMETRICS '95/PERFORMANCE '95.

[251]  Quinn Jacobson,et al.  Destage algorithms for disk arrays with non-volatile caches , 1995, Proceedings 22nd Annual International Symposium on Computer Architecture.

[252]  Alvin M. Despain,et al.  Cache design trade-offs for power and performance optimization: a case study , 1995, ISLPED '95.

[253]  Walter A. Burkhard,et al.  Reliability and performance of RAIDs , 1995 .

[254]  Eby G. Friedman,et al.  A unified design methodology for CMOS tapered buffers , 1995, IEEE Trans. Very Large Scale Integr. Syst..

[255]  R. M. Tomasulo,et al.  An efficient algorithm for exploiting multiple arithmetic units , 1995 .

[256]  Yousef A. Khalidi,et al.  Improving the Address Translation Performance of Widely Shared Pages , 1995 .

[257]  Jehoshua Bruck,et al.  EVENODD: An Efficient Scheme for Tolerating Double Disk Failures in RAID Architectures , 1995, IEEE Trans. Computers.

[258]  Sally A. McKee,et al.  Access ordering and memory-conscious cache utilization , 1995, Proceedings of 1995 1st IEEE Symposium on High Performance Computer Architecture.

[259]  Amitabh Srivastava,et al.  Analysis Tools , 2019, Public Transportation Systems.

[260]  James Edward Pierce,et al.  Cache behavior in the presence of speculative execution: The benefits of misprediction , 1995 .

[261]  Manoj Franklin,et al.  A fill-unit approach to multiple instruction issue , 1994, Proceedings of MICRO-27. The 27th Annual IEEE/ACM International Symposium on Microarchitecture.

[262]  Brian N. Bershad,et al.  Software write detection for a distributed shared memory , 1994, OSDI '94.

[263]  Kai Li,et al.  Implementation and performance of application-controlled file caching , 1994, OSDI '94.

[264]  Scott Shenker,et al.  Scheduling for reduced CPU energy , 1994, OSDI '94.

[265]  M. Frans Kaashoek,et al.  Software prefetching and caching for translation lookaside buffers , 1994, OSDI '94.

[266]  Andrew Wolfe,et al.  Power Analysis Of Embedded Software: A First Step Towards Software Power Minimization , 1994, IEEE/ACM International Conference on Computer-Aided Design.

[267]  Chau-Wen Tseng,et al.  Compiler optimizations for improving data locality , 1994, ASPLOS VI.

[268]  Ari Luotonen,et al.  World-Wide Web Proxies , 1994, Comput. Networks ISDN Syst..

[269]  Brian N. Bershad,et al.  Avoiding conflict misses dynamically in large direct-mapped caches , 1994, ASPLOS VI.

[270]  Trevor N. Mudge,et al.  Trap-driven simulation with Tapeworm II , 1994, ASPLOS VI.

[271]  Sally A. McKee,et al.  Dynamic Access Ordering: Bounds on Memory Bandwidth , 1994 .

[272]  C. Tsang,et al.  Design, fabrication and testing of spin-valve read heads for high density recording , 1994 .

[273]  James R. Larus,et al.  Fine-grain access control for distributed shared memory , 1994, ASPLOS VI.

[274]  Mark D. Hill,et al.  Surpassing the TLB performance of superpages with less operating system support , 1994, ASPLOS VI.

[275]  Scott A. Mahlke,et al.  Dynamic memory disambiguation using the memory conflict buffer , 1994, ASPLOS VI.

[276]  Bharadwaj Amrutur,et al.  Techniques to reduce power in fast wide memories [CMOS SRAMs] , 1994, Proceedings of 1994 IEEE Symposium on Low Power Electronics.

[277]  M. Horowitz,et al.  Low-power digital design , 1994, Proceedings of 1994 IEEE Symposium on Low Power Electronics.

[278]  Timothy Roscoe,et al.  Linkage in the Nemesis single address space operating system , 1994, OPSR.

[279]  Dawson R. Engler,et al.  The operating system kernel as a secure programmable machine , 1994, OPSR.

[280]  Emin Gün Sirer,et al.  SPIN: an extensible microkernel for application-specific operating system services , 1994, EW 6.

[281]  Jochen Liedtke,et al.  Address space sparsity and fine granularity , 1994, EW 6.

[282]  Joel H. Saltz,et al.  Communication Optimizations for Irregular Scientific Computations on Distributed Memory Architectures , 1994, J. Parallel Distributed Comput..

[283]  Joseph D. Touch,et al.  An experiment in latency reduction , 1994, Proceedings of INFOCOM '94 Conference on Computer Communications.

[284]  Jim Griffioen,et al.  Reducing File System Latency using a Predictive Approach , 1994, USENIX Summer.

[285]  Kai Li,et al.  Application-Controlled File Caching Policies , 1994, USENIX Summer.

[286]  Todd C. Mowry,et al.  Tolerating latency through software-controlled data prefetching , 1994 .

[287]  Garth A. Gibson,et al.  RAID: high-performance, reliable secondary storage , 1994, CSUR.

[288]  A. Eustace,et al.  ATOM: a system for building customized program analysis tools , 1994, PLDI '94.

[289]  Steven Przybylski,et al.  New DRAM Technologies: A Comprehensive Analysis of the New Architecture , 1994 .

[290]  Yale N. Patt,et al.  Scheduling algorithms for modern disk drives , 1994, SIGMETRICS 1994.

[291]  Trevor N. Mudge,et al.  Optimal allocation of on-chip memory for multiple-API operating systems , 1994, Proceedings of 21 International Symposium on Computer Architecture.

[292]  S. Ng Crosshatch disk array for improved reliability and performance , 1994, Proceedings of 21 International Symposium on Computer Architecture.

[293]  John Paul Shen,et al.  Speculative disambiguation: a compilation technique for dynamic memory disambiguation , 1994, Proceedings of 21 International Symposium on Computer Architecture.

[294]  Richard E. Kessler,et al.  Evaluating stream buffers as a secondary cache replacement , 1994, Proceedings of 21 International Symposium on Computer Architecture.

[295]  Zarka Cvetanovic,et al.  Characterization of Alpha AXP performance using TP and SPEC workloads , 1994, Proceedings of 21 International Symposium on Computer Architecture.

[296]  Spencer W. Ng,et al.  Sparing for redundant disk arrays , 1994, Distributed and Parallel Databases.

[297]  Spencer W. Ng,et al.  Uniform Parity Group Distribution in Disk Arrays with Multiple Failures , 1994, IEEE Trans. Computers.

[298]  H. Neal Bertram,et al.  Theory of Magnetic Recording , 1994 .

[299]  John Wilkes,et al.  An introduction to disk drive modeling , 1994, Computer.

[300]  Michael N. Nelson,et al.  An overview of the Spring system , 1994, Proceedings of COMPCON '94.

[301]  Alan L. Cox,et al.  TreadMarks: Distributed Shared Memory on Standard Workstations and Operating Systems , 1994, USENIX Winter.

[302]  Larry L. Peterson,et al.  Fbufs: a high-bandwidth cross-domain transfer facility , 1994, SOSP '93.

[303]  Robert Wahbe,et al.  Efficient software-based fault isolation , 1994, SOSP '93.

[304]  Jochen Liedtke,et al.  Improving IPC by kernel design , 1994, SOSP '93.

[305]  Scott A. Mahlke,et al.  Superblock formation using static program analysis , 1993, Proceedings of the 26th Annual International Symposium on Microarchitecture.

[306]  Rajiv Gupta,et al.  Predictability of load/store instruction latencies , 1993, Proceedings of the 26th Annual International Symposium on Microarchitecture.

[307]  R. A. Heald,et al.  A 6-ns cycle 256-kb cache memory and memory management unit , 1993 .

[308]  Michael N. Nelson,et al.  Virtual memory support for multiple page sizes , 1993, Proceedings of IEEE 4th Workshop on Workstation Operating Systems. WWOS-III.

[309]  Dirk Grunwald,et al.  Improving the cache locality of memory allocation , 1993, PLDI '93.

[310]  Benjamin G. Zorn,et al.  Using lifetime predictors to improve memory allocation performance , 1993, PLDI '93.

[311]  Yale N. Patt,et al.  Increasing the instruction fetch rate via multiple branch prediction and a branch address cache , 1993, ICS '93.

[312]  James R. Larus,et al.  The Wisconsin Wind Tunnel: virtual prototyping of parallel computers , 1993, SIGMETRICS '93.

[313]  Trevor Mudge,et al.  Design tradeoffs for software-managed TLBs , 1993, ISCA '93.

[314]  Jerome C. Huck,et al.  Architectural Support For Translation Table Management In Large Address Space Machines , 1993, Proceedings of the 20th Annual International Symposium on Computer Architecture.

[315]  Brian N. Bershad,et al.  The Midway distributed shared memory system , 1993, Digest of Papers. Compcon Spring.

[316]  Steven Mark Carr,et al.  Memory-hierarchy management , 1993 .

[317]  Jr. William Yu-Wei Chen,et al.  Data preload for superscalar and VLIW processors , 1993 .

[318]  A. Wolfe,et al.  Executing Compressed Programs On An Embedded RISC Architecture , 1992, [1992] Proceedings the 25th Annual International Symposium on Microarchitecture MICRO 25.

[319]  Richard E. Kessler,et al.  Page placement algorithms for large real-indexed caches , 1992, TOCS.

[320]  J. Chase,et al.  Lightweight shared objects in a 64-bit operating system , 1992, Conference on Object-Oriented Programming Systems, Languages, and Applications.

[321]  Jonathan Walpole,et al.  The effects of virtually addressed caches on virtual memory design and performance , 1992, OPSR.

[322]  Spencer W. Ng,et al.  Maintaining good performance in disk arrays during failure via uniform parity group distribution , 1992, Proceedings of the First International Symposium on High-Performance Distributed Computing. (HPDC-1).

[323]  Jean-Loup Baer,et al.  Reducing memory latency via non-blocking and prefetching caches , 1992, ASPLOS V.

[324]  Anoop Gupta,et al.  Design and evaluation of a compiler algorithm for prefetching , 1992, ASPLOS V.

[325]  Brian N. Bershad,et al.  Consistency management for virtually indexed caches , 1992, ASPLOS V.

[326]  Butler W. Lampson,et al.  On-line data compression in a log-structured file system , 1992, ASPLOS V.

[327]  Josep Torrellas,et al.  Characterizing the caching and synchronization performance of a multiprocessor operating system , 1992, ASPLOS V.

[328]  Randy H. Katz,et al.  Eliminating the address translation bottleneck for physical address cache , 1992, ASPLOS V.

[329]  J. Eliot B. Moss,et al.  Working with Persistent Objects: To Swizzle or Not to Swizzle , 1992, IEEE Trans. Software Eng..

[330]  Benjamin Goldberg,et al.  Escape analysis on lists , 1992, PLDI '92.

[331]  Y. Patt,et al.  Alternative implementations of two-level adaptive branch prediction , 1992, ISCA '92.

[332]  David A. Patterson,et al.  Tradeoffs in Supporting Two Page Sizes , 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.

[333]  Alan L. Cox,et al.  Lazy Release Consistency for Software Distributed Shared Memory , 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.

[334]  Norman P. Jouppi,et al.  A Simulation Based Study of TLB Performance , 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.

[335]  B. Bhushan,et al.  Contact-start-stop studies with silicon planar head sliders against thin-film disks , 1992 .

[336]  S. McFarling Cache Replacement with Dynamic Exclusion , 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.

[337]  Michael L. Scott,et al.  Dynamic Sharing and Backward Compatibility on 64-Bit Machines , 1992 .

[338]  Henri E. Bal,et al.  Orca: A Language For Parallel Programming of Distributed Systems , 1992, IEEE Trans. Software Eng..

[339]  Mendel Rosenblum,et al.  The design and implementation of a log-structured file system , 1991, SOSP '91.

[340]  Sean Quinlan,et al.  A cached WORM file system , 1991, Softw. Pract. Exp..

[341]  D. DeWitt,et al.  A performance study of three high availability data replication strategies , 1991, [1991] Proceedings of the First International Conference on Parallel and Distributed Information Systems.

[342]  Rajiv V. Joshi,et al.  A 2-ns cycle, 3.8-ns access 512-kb CMOS ECL SRAM with a fully pipelined architecture , 1991 .

[343]  Scott A. Mahlke,et al.  Data access microarchitectures for superscalar processors with compiler-assisted data prefetching , 1991, MICRO 24.

[344]  Willy Zwaenepoel,et al.  Implementation and performance of Munin , 1991, SOSP '91.

[345]  Y. Patt,et al.  Two-level adaptive training branch prediction , 1991, MICRO 24.

[346]  Jean-Loup Baer,et al.  An effective on-chip preloading scheme to reduce data access penalty , 1991, Proceedings of the 1991 ACM/IEEE Conference on Supercomputing (Supercomputing '91).

[347]  Paul R. Wilson,et al.  Pointer swizzling at page fault time: efficiently supporting huge address spaces on standard hardware , 1991, CARN.

[348]  Anoop Gupta,et al.  Tolerating Latency Through Software-Controlled Prefetching in Shared-Memory Multiprocessors , 1991, J. Parallel Distributed Comput..

[349]  Sanjay Ranade,et al.  Mass Storage Technologies , 1991 .

[350]  Dan Duchamp,et al.  Detection and exploitation of file working sets , 1991, [1991] Proceedings. 11th International Conference on Distributed Computing Systems.

[351]  Monica S. Lam,et al.  A data locality optimizing algorithm , 1991, PLDI '91.

[352]  Hirokazu Aoki,et al.  Design of a second-level cache chip for shared-bus multimicroprocessor systems , 1991 .

[353]  Ivan E. Sutherland,et al.  Logical effort: designing for speed on the back of an envelope , 1991 .

[354]  D.R. Kaeli,et al.  Branch history table prediction of moving target branches due to subroutine returns , 1991, [1991] Proceedings. The 18th Annual International Symposium on Computer Architecture.

[355]  Christos Faloutsos,et al.  Flexible buffer allocation based on marginal gains , 1991, SIGMOD '91.

[356]  Brian N. Bershad,et al.  The interaction of architecture and operating system design , 1991, ASPLOS IV.

[357]  J.W.C. Fu,et al.  Data prefetching in multiprocessor vector cache memories , 1991, [1991] Proceedings. The 18th Annual International Symposium on Computer Architecture.

[358]  Andrew W. Appel,et al.  Virtual memory primitives for user programs , 1991, ASPLOS IV.

[359]  Ken Kennedy,et al.  Software prefetching , 1991, ASPLOS IV.

[360]  H. Levy,et al.  An architecture for software-controlled data prefetching , 1991, [1991] Proceedings. The 18th Annual International Symposium on Computer Architecture.

[361]  David P. Anderson,et al.  The performance of message‐passing using restricted virtual memory remapping , 1991, Softw. Pract. Exp..

[362]  Samuel J. Leffler,et al.  The design and implementation of the 4.3 BSD Unix operating system , 1991, Addison-Wesley series in computer science.

[363]  Michael J. Flynn,et al.  An area model for on-chip memories and its application , 1991 .

[364]  Howard Leo Kalter,et al.  A 50-ns 16-Mb DRAM with a 10-ns data rate and on-chip ECC , 1990 .

[365]  K. Anami,et al.  A 20 ns 4 Mb CMOS SRAM with hierarchical word decoding architecture , 1990, 1990 37th IEEE International Conference on Solid-State Circuits.

[366]  Hugh M. Sierra,et al.  An Introduction to Direct Access Storage Devices , 1990 .

[367]  Jack W. Davidson,et al.  Profile guided code positioning , 1990, SIGP.

[368]  K. Korner,et al.  Intelligent caching for remote file service , 1990, Proceedings.,10th International Conference on Distributed Computing Systems.

[369]  Willy Zwaenepoel,et al.  Adaptive software cache management for distributed shared memory architectures , 1990, [1990] Proceedings. The 17th Annual International Symposium on Computer Architecture.

[370]  Norman P. Jouppi,et al.  Improving direct-mapped cache performance by the addition of a small fully-associative cache and pre , 1990, ISCA 1990.

[371]  Anoop Gupta,et al.  Memory consistency and event ordering in scalable shared-memory multiprocessors , 1990, ISCA '90.

[372]  Cyril U. Orji,et al.  Write-only disk caches , 1990, SIGMOD '90.

[373]  Peter Davies,et al.  The TLB slice-a low-cost high-speed address translation mechanism , 1990, [1990] Proceedings. The 17th Annual International Symposium on Computer Architecture.

[374]  C. Tsang,et al.  Gigabit density recording using dual-element MR/Inductive heads on thin-film disks , 1990, International Conference on Magnetics.

[375]  Carla Schlatter Ellis,et al.  Prefetching in File Systems for MIMD Multiprocessors , 1990, IEEE Trans. Parallel Distributed Syst..

[376]  K. Ishibashi,et al.  An alpha -immune, 2-V supply voltage SRAM using a polysilicon PMOS load cell , 1990 .

[377]  David A. Wood,et al.  Design and Evaluation of In-Cache Address Translation , 1990 .

[378]  Steven A. Przybylski,et al.  Cache and memory hierarchy design: a performance-directed approach , 1990 .

[379]  D. B. Kirk,et al.  SMART (strategic memory allocation for real-time) cache design , 1989, [1989] Proceedings. Real-Time Systems Symposium.

[380]  Ian H. Witten,et al.  Modeling for text compression , 1989, CSUR.

[381]  Paul Hudak,et al.  Memory coherence in shared virtual memory systems , 1989, TOCS.

[382]  John Wilkes,et al.  Improving the efficiency of UNIX buffer caches , 1989, SOSP '89.

[383]  William Pugh,et al.  Skip lists: a probabilistic alternative to balanced trees , 1989, CACM.

[384]  Philip S. Yu,et al.  Integration of Buffer Management and Query Optimization in Relational Database Environment , 1989, VLDB.

[385]  Mark Horowitz,et al.  An analytical cache model , 1989, TOCS.

[386]  Alexandru Nicolau,et al.  Run-Time Disambiguation: Coping with Statically Unpredictable Dependencies , 1989, IEEE Trans. Computers.

[387]  Wen-Hann Wang,et al.  Organization And Performance Of A Two-level Virtual-real Cache Hierarchy , 1989, The 16th Annual International Symposium on Computer Architecture.

[388]  Hendrik A. Goosen,et al.  Multi-level Shared Caching Techniques For Scalability In VMP-MC , 1989, The 16th Annual International Symposium on Computer Architecture.

[389]  Wen-mei W. Hwu,et al.  Achieving High Instruction Cache Performance With An Optimizing Compiler , 1989, The 16th Annual International Symposium on Computer Architecture.

[390]  Spencer Ng,et al.  Some design issues of disk arrays , 1989, Digest of Papers. COMPCON Spring 89. Thirty-Fourth IEEE Computer Society International Conference: Intellectual Leverage.

[391]  Andrew W. Appel,et al.  Simple generational garbage collection and fast allocation , 1989, Softw. Pract. Exp..

[392]  Stephen J. Hartley Compile-Time Program Restructuring in Multiprogrammed Virtual Memory Systems , 1988, IEEE Trans. Software Eng..

[393]  James E. Smith,et al.  Characterizing computer performance with a single number , 1988, CACM.

[394]  S. Hanamura,et al.  A 15-ns 1-Mbit CMOS SRAM , 1988 .

[395]  Randy H. Katz,et al.  A case for redundant arrays of inexpensive disks (RAID) , 1988, SIGMOD '88.

[396]  Anoop Gupta,et al.  The VMP multiprocessor: initial experience, refinements, and performance evaluation , 1988, ISCA '88.

[397]  Andrew R. Pleszkun,et al.  Implementing Precise Interrupts in Pipelined Processors , 1988, IEEE Trans. Computers.

[398]  Albert Chang,et al.  801 storage: architecture and programming , 1988, TOCS.

[399]  Andrew P. Black,et al.  Fine-grained mobility in the Emerald system , 1987, TOCS.

[400]  G. Letwin,et al.  Inside OS/2 , 1988 .

[401]  Paul A. D. de Maine,et al.  SOLID: A high-speed data- and question-independent information management system , 1988, CSC '88.

[402]  Michael N. Nelson,et al.  Caching in the Sprite network file system , 1988, TOCS.

[403]  Yale N. Patt,et al.  Hardware Support For Large Atomic Units in Dynamically Scheduled Machines , 1988, [1988] Proceedings of the 21st Annual Workshop on Microprogramming and Microarchitecture - MICRO '21.

[404]  Wen-mei W. Hwu,et al.  Trace Selection For Compiling Large C Application Programs To Microcode , 1988, [1988] Proceedings of the 21st Annual Workshop on Microprogramming and Microarchitecture - MICRO '21.

[405]  Kemal Ebcioglu,et al.  A compilation technique for software pipelining of loops with conditional jumps , 1987, MICRO 20.

[406]  Kenneth P. Birman,et al.  Exploiting virtual synchrony in distributed systems , 1987, SOSP '87.

[407]  David L. Black,et al.  Machine-independent virtual memory management for paged uniprocessor and multiprocessor architectures , 1987, IEEE Trans. Computers.

[408]  Robert P. Colwell,et al.  A VLIW architecture for a trace scheduling compiler , 1987, ASPLOS.

[409]  Andrew W. Appel,et al.  Garbage Collection can be Faster than Stack Allocation , 1987, Inf. Process. Lett..

[410]  Gurindar S. Sohi,et al.  Instruction issue logic for high-performance, interruptable pipelined processors , 1987, ISCA '98.

[411]  Andrew R. Pleszkun,et al.  WISQ: a restartable architecture using queues , 1987, ISCA '87.

[412]  Yale N. Patt,et al.  Checkpoint repair for out-of-order execution machines , 1987, ISCA '87.

[413]  Robert Geist,et al.  A continuum of disk scheduling algorithms , 1987, TOCS.

[414]  David J. DeWitt,et al.  An evaluation of buffer management strategies for relational database systems , 1986, Algorithmica.

[415]  Michelle Y. Kim,et al.  Synchronized Disk Interleaving , 1986, IEEE Transactions on Computers.

[416]  Peter W. Cook,et al.  A 15-ns CMOS 64K RAM , 1986 .

[417]  Alexander Aiken,et al.  A Development Environment for Horizontal Microcode , 1986, IEEE Trans. Software Eng..

[418]  F. J. List,et al.  The Static Noise Margin of SRAM cells , 1986, ESSCIRC '86: Twelfth European Solid-State Circuits Conference.

[419]  James K. Archibald,et al.  Cache coherence protocols: evaluation using a multiprocessor simulation model , 1986, TOCS.

[420]  Spencer W. Ng,et al.  Reliability & Availability of Duplex Systems: Some Simple Models , 1986, IEEE Transactions on Reliability.

[421]  Yale N. Patt,et al.  HPSm, a high performance restricted data flow architecture having minimal functionality , 1986, ISCA '98.

[422]  Randy H. Katz,et al.  An in-cache address translation mechanism , 1986, ISCA '86.

[423]  D. Cheriton,et al.  Software-controlled caches in the VMP multiprocessor , 1986, ISCA '86.

[424]  P. Fleming,et al.  How not to lie with statistics: the correct way to summarize benchmark results , 1986, CACM.

[425]  Gordon V. Cormack,et al.  Data compression on a database system , 1985, CACM.

[426]  Alexandru Nicolau,et al.  Efficient hardware for multiway jumps and pre-fetches , 1985, MICRO 18.

[427]  Alan Jay Smith,et al.  Disk cache—miss ratio analysis and design considerations , 1983, TOCS.

[428]  Scott A Ritchie,et al.  TLB for Free: In-Cache Address Translation for a Multiprocessor Workstation , 1985 .

[429]  Douglas W. Clark,et al.  Performance of the VAX-11/780 translation buffer: simulation and measurement , 1985, TOCS.

[430]  James E. Smith,et al.  Instruction Issue Logic in Pipelined Supercomputers , 1984, IEEE Transactions on Computers.

[431]  S. Iwasaki Perpendicular magnetic recording -- Evolution and future , 1984 .

[432]  James W. Stamos,et al.  Static grouping of small objects to enhance performance of a paged virtual memory , 1984, TOCS.

[433]  J. Lohstroh,et al.  Worst-case static noise margin criteria for logic circuits and their mathematical equivalence , 1983, IEEE Journal of Solid-State Circuits.

[434]  H. Shinohara,et al.  A divided word-line structure in the static RAM and its application to a 64K full CMOS RAM , 1983, IEEE Journal of Solid-State Circuits.

[435]  Joseph A. Fisher,et al.  Very Long Instruction Word architectures and the ELI-512 , 1983, ISCA '83.

[436]  M. Peckerar,et al.  Radiation Effects Introduced by X-Ray Lithography in MOS Devices , 1982, IEEE Transactions on Nuclear Science.

[437]  Giovanni Maria Sacco,et al.  A Mechanism for Managing the Buffer Pool in a Relational Database System Using the Hot Set Model , 1982, VLDB.

[438]  Alan Jay Smith,et al.  Cache Memories , 1982, CSUR.

[439]  Michael A. Pechura,et al.  File archival techniques using data compression , 1982, CACM.

[440]  T. Suzuki,et al.  Magnetization transitions in perpendicular magnetic recording , 1982 .

[441]  John L. Hennessy,et al.  WSCLOCK—a simple and effective algorithm for virtual memory management , 1981, SOSP.

[442]  Kevin C. Kahn,et al.  The iMAX-432 object filing system , 1981, SOSP.

[443]  George R. Santana,et al.  A Quarter Century of Disk File Innovation , 1981, IBM J. Res. Dev..

[444]  Louis D. Stevens,et al.  The Evolution of Magnetic Storage , 1981, IBM J. Res. Dev..

[445]  R. B. Mulvany,et al.  Innovations in Disk File Manufactoring , 1981, IBM J. Res. Dev..

[446]  Joseph A. Fisher,et al.  Trace Scheduling: A Technique for Global Microcode Compaction , 1981, IEEE Transactions on Computers.

[447]  Michael Stonebraker,et al.  Operating system support for database management , 1981, CACM.

[448]  James E. Smith,et al.  A study of branch prediction strategies , 1981, ISCA '98.

[449]  D. Kroft Lockup-free instruction fetch/prefetch cache organization , 1981, ISCA '98.

[450]  Joseph A. Fisher,et al.  2n-way jump microinstruction hardware and an effective instruction binding method , 1980, SIGM.

[451]  P. Blaise,et al.  Radiation effects in MOS devices caused by x‐ray and e‐beam lithography , 1979 .

[452]  Leslie Lamport,et al.  How to Make a Multiprocessor Computer That Correctly Executes Multiprocess Programs , 2016, IEEE Transactions on Computers.

[453]  Terry A. Welch,et al.  Memory Hierarchy Configuration Analysis , 1978, IEEE Transactions on Computers.

[454]  T. May,et al.  A New Physical Mechanism for Soft Errors in Dynamic Memories , 1978, 16th International Reliability Physics Symposium.

[455]  James P. Considine,et al.  MARC: MVS Archival Storage and Recovery Program , 1977, IBM Syst. J..

[456]  J. Y. Babonneau,et al.  Automatic and general solution to the adaptation of programs in a paging environment , 1977, SOSP '77.

[457]  Domenico Ferrari,et al.  The Improvement of Program Behavior , 1976, Computer.

[458]  Satish L. Rege,et al.  Cost, Performance, and Size Tradeoffs for Different Levels in a Memory Hierarchy , 1976, Computer.

[459]  C. K. Chow,et al.  Determination of Cache's Capacity and its Matching Storage Hierarchy , 1976, IEEE Transactions on Computers.

[460]  John E. McDonald,et al.  Storage Hierarchy Optimization Procedure , 1975, IBM J. Res. Dev..

[461]  Richard K. Oswald,et al.  Design of a Disk File Head-Positioning Servo , 1974, IBM J. Res. Dev..

[462]  Domenico Ferrari,et al.  Improving locality by critical working sets , 1974, CACM.

[463]  C. K. Chow,et al.  On Optimization of Storage Hierarchies , 1974, IBM J. Res. Dev..

[464]  Domenico Ferrari,et al.  A tool for automatic program restructuring , 1973, ACM Annual Conference.

[465]  Leon R. Wechsler,et al.  The effect of look-ahead paging in a virtual memory system as determined by simulation , 1973, ANSS '73.

[466]  Ernst G. Ulrich,et al.  Clustering and linear placement , 1972, DAC '72.

[467]  Robert C. Daley,et al.  The Multics virtual memory , 1972, Commun. ACM.

[468]  Donald J. Hatfield,et al.  Program Restructuring for Virtual Memory , 1971, IBM Syst. J..

[469]  Murray A. Milne,et al.  CLUSTR: A program for structuring design problems , 1971, DAC '71.

[470]  Maurice V. Wilkes,et al.  Slave Memories and Segmentation , 1971, IEEE Transactions on Computers.

[471]  James E. Mulford,et al.  Data compression techniques for economic processing of large commercial files , 1971, SIGIR '71.

[472]  Peter J. Denning,et al.  Virtual Memory , 1970, CSUR.

[473]  Barbara S. Brawn,et al.  Sorting in a paging environment , 1970, Commun. ACM.

[474]  C. V. Ramamoorthy,et al.  Optimization of Memory Hierarchies in Multiprogrammed Systems , 1970, JACM.

[475]  D. C. Bossen b-adjacent error correction , 1970 .

[476]  Irving L. Traiger,et al.  Evaluation Techniques for Storage Hierarchies , 1970, IBM Syst. J..

[477]  David A. Patterson,et al.  Computer Architecture: A Quantitative Approach , 1969 .

[478]  Francis F. Lee,et al.  Study of "Look-Aside" Memory , 1969, IEEE Transactions on Computers.

[479]  Robert Fenichel,et al.  A LISP garbage-collector for virtual-memory computer systems , 1969, CACM.

[480]  Wilfred J. Hansen,et al.  Compact list representation: definition, garbage collection, and system implementation , 1969, CACM.

[481]  Thomas C. Lowe Analysis of boolean program models for time-shared, paged environments , 1969, CACM.

[482]  Thomas C. Lowe The Influence of Data Base Characteristics and Usage on Direct Access File Organization , 1968, J. ACM.

[483]  Howard R. Charney,et al.  Efficient partitioning of components , 1968, DAC.

[484]  Peter J. Denning,et al.  The working set model for program behavior , 1968, CACM.

[485]  C. J. Kuehner,et al.  Dynamic storage allocation systems , 1967, SOSP.

[486]  L. W. Comeau,et al.  A study of the effect of user program optimization in a paging system , 1967, SOSP.

[487]  Laszlo A. Belady,et al.  A Study of Replacement Algorithms for Virtual-Storage Computer , 1966, IBM Syst. J..

[488]  Jack B. Dennis,et al.  Segmentation and the Design of Multiprogrammed Computer Systems , 1965, JACM.

[489]  C. V. Ramamoorthy,et al.  Analysis of computational systems: Discrete Markov analysis of computer programs , 1965, ACM '65.

[490]  Jürg Nievergelt,et al.  On the automatic simplification of computer programs , 1965, CACM.

[491]  Maurice V. Wilkes,et al.  Slave Memories and Dynamic Storage Allocation , 1965, IEEE Trans. Electron. Comput..

[492]  Donald E. Knuth,et al.  Computer-drawn flowcharts , 1963, CACM.

[493]  Tom Kilburn,et al.  One-Level Storage System , 1962, IRE Trans. Electron. Comput..

[494]  John A. Fotheringham,et al.  Dynamic storage allocation in the Atlas computer, including an automatic use of a backing store , 1961, Commun. ACM.

[495]  Thomas B. Steel,et al.  The Share 709 System: Machine Implementation of Symbolic Programming , 1959, JACM.

[496]  Irwin D. Greenwald,et al.  The Share 709 System: Programming and Modification , 1959, JACM.

[497]  Donald L. Shell,et al.  The Share 709 System: A Cooperative Effort , 1959, JACM.

[498]  Harvey Bratman,et al.  The Share 709 System: Supervisory Control , 1959, JACM.

[499]  C. J. Swift,et al.  The Share 709 System: Programmed Input-Output Buffering , 1959, JACM.

[500]  Vincent J. DiGri,et al.  The Share 709 System: Input-Output Translation , 1959, JACM.

[501]  John McCarthy,et al.  Recursive functions of symbolic expressions and their computation by machine, Part I , 1960, Commun. ACM.

[502]  James P. Considine,et al.  Establishment and maintenance of a storage hierarchy for an on-line data base under TSS/360 , 1899, AFIPS '69 (Fall).

[503]  Jon Haas,et al.  Fully-Buffered DIMM Technology Moves Enterprise Platforms to the Next Level , 2005 .

[504]  Richard E. Matick,et al.  Logic-based eDRAM: Origins and rationale for use , 2005, IBM J. Res. Dev..

[505]  Yudong Tan,et al.  A Prioritized Cache for Multi- tasking Real-time Systems , 2004 .

[506]  M.T. White,et al.  Comparison of a MEMS microactuator and a PZT milliactuator for high-bandwidth HDD servo , 2004, Proceedings of the 2004 American Control Conference.

[507]  Jong-Deok Choi,et al.  Escape analysis for Java , 1999, OOPSLA '99.

[508]  Mohab Anis,et al.  Subthreshold leakage current: challenges and solutions , 2003, Proceedings of the 12th IEEE International Conference on Fuzzy Systems (Cat. No.03CH37442).

[509]  Deborah A. Wallach,et al.  Power Evaluation of a Handheld Computer , 2003, IEEE Micro.

[510]  Norman P. Jouppi,et al.  CACTI 2.0: An Integrated Cache Timing and Power Model , 2002 .

[511]  Dirk Grunwald,et al.  The Case for Massive Arrays of Idle Disks (MAID) , 2002 .

[512]  Norman P. Jouppi,et al.  Quantifying the Complexity of Superscalar Processors , 2002 .

[513]  Bruce Jacob,et al.  VIRTUAL MEMORY SYSTEMS AND TLB STRUCTURES , 2001 .

[514]  Yu Cao,et al.  New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[515]  William H. Mangione-Smith,et al.  Filtering Memory References to Increase Energy Efficiency , 2000, IEEE Trans. Computers.

[516]  I. Sutherland,et al.  Logical Effort: Designing Fast CMOS Circuits , 1999 .

[517]  Trevor Pering,et al.  Dynamic Voltage Scaling and the Design of a Low-Power Microprocessor System , 1998 .

[518]  Trevor N. Mudge,et al.  A look at several memory management units, TLB-refill mechanisms, and page table organizations , 1998, ASPLOS VIII.

[519]  Gregory R. Ganger,et al.  The DiskSim Simulation Environment Version 4.0 Reference Manual (CMU-PDL-08-101) , 1998 .

[520]  Neal Cardwell,et al.  Evaluation of Existing Architectures in IRAM Systems , 1998 .

[521]  Michael John Sebastian Smith,et al.  Application-specific integrated circuits , 1997 .

[522]  Reinhard C. Schumann,et al.  Design of the 21174 Memory Controller for DIGITAL Personal Workstations , 1997, Digit. Tech. J..

[523]  Edward A. Lee,et al.  DSP Processor Fundamentals , 1997 .

[524]  Trevor Mudge,et al.  Software-oriented memory-management design , 1997 .

[525]  R. Nair,et al.  Exploiting Instruction Level Parallelism In Processors By Caching Scheduled Groups , 1997, Conference Proceedings. The 24th Annual International Symposium on Computer Architecture.

[526]  William R. Bryg,et al.  A High-Performance, Low-Cost Multiprocessor Bus for Workstations and Midrange Servers , 1996 .

[527]  Sally A. McKee,et al.  Design and evaluation of dynamic access ordering hardware , 1996, ICS '96.

[528]  Bjarne Steensgaard,et al.  Points-to analysis in almost linear time , 1996, POPL '96.

[529]  Lars Birkedal,et al.  From region inference to von Neumann machines via region representation inference , 1996, POPL '96.

[530]  James L. Walsh,et al.  IBM experiments in soft fails in computer electronics (1978-1994) , 1996, IBM J. Res. Dev..

[531]  David A. Patterson,et al.  Computer architecture (2nd ed.): a quantitative approach , 1996 .

[532]  K. Ashar Magnetic Disk Drive Technology , 1996 .

[533]  Thomas R. Hotchkiss,et al.  A New Memory System Design for Commercial and Technical Computing Products , 1996 .

[534]  Ravi Nair,et al.  Profiling IBM RS/6000 Applications , 1996, Int. J. Comput. Simul..

[535]  Jochen Liedtke,et al.  Guarded page tables on Mips R4600 or an exercise in architecture-dependent micro optimization , 1996, OPSR.

[536]  John L. Hennessy,et al.  Hardware/Software Codesign of Processors: Concepts and Examples , 1996 .

[537]  D. E. Russell,et al.  Cosmic ray neutron induced upsets as a major contributor to the soft error rate of current and future generation DRAMs , 1996, Proceedings of International Reliability Physics Symposium.

[538]  Majid Sarrafzadeh,et al.  Memory Segmentation to Exploit Sleep Mode Operation , 1995, 32nd Design Automation Conference.

[539]  Norman P. Jouppi,et al.  WRL Research Report 93/5: An Enhanced Access and Cycle Time Model for On-chip Caches , 1994 .

[540]  Ricardo Bianchini,et al.  Linking Shared Segments , 1993, USENIX Winter.

[541]  Fred Douglis,et al.  The Compression Cache: Using On-line Compression to Extend Physical Memory , 1993, USENIX Winter.

[542]  Randy H. Katz,et al.  Striped tape arrays , 1993, [1993] Proceedings Twelfth IEEE Symposium on Mass Storage systems.

[543]  Hwa C. Torng,et al.  Interrupt Handling for Out-of-Order Execution Processors , 1993, IEEE Trans. Computers.

[544]  Ramesh Balan,et al.  A Scalable Implementation of Virtual Memory HAT Layer for Shared Memory Multiprocessor Machines , 1992, USENIX Summer.

[545]  J. W. Park,et al.  DRAM variable retention time , 1992, 1992 International Technical Digest on Electron Devices Meeting.

[546]  Mike Johnson,et al.  Superscalar microprocessor design , 1991, Prentice Hall series in innovative technology.

[547]  Brian N. Bershad,et al.  Midway : shared memory parallel programming with entry consistency for distributed memory multiprocessors , 1991 .

[548]  Spencer W. Ng,et al.  Improving Disk Performance Via Latency Reduction , 1991, IEEE Trans. Computers.

[549]  J. Dilorenzo,et al.  A 50 ns 16 Mb DRAM with a 10 ns data rate , 1990, 1990 37th IEEE International Conference on Solid-State Circuits.

[550]  Milon Mackey,et al.  Mach on a Virtually Addressed Cache Architecture , 1990, USENIX MACH Symposium.

[551]  Margo I. Seltzer,et al.  Disk Scheduling Revisited , 1990 .

[552]  Michael L. Scott,et al.  Design Rationale for Psyche a General-Purpose Multiprocessor Operating System , 1988, ICPP.

[553]  Michel Dubois,et al.  Concurrent Miss Resolution in Multiprocessor Caches , 1988, ICPP.

[554]  ACM-Sigmetrics Proceedings of the 1987 ACM Sigmetrics Conference on Measurement and Modeling of Computer Systems, May 11-14, 1987, Banff, Alberta, Canada , 1987 .

[555]  S. Haykin,et al.  Adaptive Filter Theory , 1986 .

[556]  William J. Bolosky,et al.  Mach: A New Kernel Foundation for UNIX Development , 1986, USENIX Summer.

[557]  Alfred V. Aho,et al.  Compilers: Principles, Techniques, and Tools , 1986, Addison-Wesley series in computer science / World student series edition.

[558]  James E. Smith,et al.  Implementation of precise interrupts in pipelined processors , 1985, ISCA '85.

[559]  John R. Ellis,et al.  Bulldog: a compiler for vliw architectures (parallel computing, reduced-instruction-set, trace scheduling, scientific) , 1985 .

[560]  Peter J. Denning,et al.  Working Sets Past and Present , 1980, IEEE Transactions on Software Engineering.

[561]  Alan Jay Smith,et al.  Two Methods for the Efficient Analysis of Memory Address Trace Data , 1977, IEEE Transactions on Software Engineering.

[562]  Jean-Loup Baer,et al.  Dynamic Improvement of Locality in Virtual Memory Systems , 1976, IEEE Transactions on Software Engineering.

[563]  L. Kleinrock Queueing Systems: Volume I-Theory , 1975 .

[564]  D. E. Penney,et al.  Calculus and analytic geometry , 1975 .

[565]  Elliott I. Organick,et al.  The multics system: an examination of its structure , 1972 .

[566]  M. Wells,et al.  File compression using variable length encodings , 1972, Comput. J..

[567]  C. P. Earnest,et al.  Analysis of Graphs by Ordering of Nodes , 1972, JACM.

[568]  Michael D. Schroeder,et al.  Performance of the GE-645 associative memory while Multics is in operation , 1971, SIGOPS Workshop on System Performance Evaluation.

[569]  Thomas C. Lowe Automatic segmentation of cyclic program structures based on connectivity and processor timing , 1970, CACM.

[570]  Edward S. Lowry,et al.  Object code optimization , 1969, CACM.

[571]  David W. Anderson,et al.  The IBM System/360 model 91: machine philosophy and instruction-handling , 1967 .

[572]  C. Ramamoorthy The analytic design of a dynamic look-ahead and program segmenting system for multiprogrammed computers , 1966, Communications of the ACM.

[573]  Proceedings of the 29th Annual Hawaii International Conference on System Sciences- I996 Operating System Support for Flexible Coherence in Distributed Shared Memory* , 2022 .