SSMC: An on-chip source-synchronous multi-cycle interconnect scheme

In this paper, a low-power source-synchronous multi-cycle interconnect scheme SSMC is proposed. This scheme is scalable and suitable for transferring data across different clock domains such as those in “many-core” SoCs and in 3D-ICs. SSMC replaces intermediate flip-flops by a source synchronous synchronization scheme. The proposed multi-cycle bus scheme also leads to significant energy savings due to eliminating the power hungry flip-flops and efficiently designing the source synchronization overhead. Moreover, eliminating intermediate flip-flops avoids the timing overhead of the setup time, the flip-flop delay and the single-cycle clock jitter. This delay slack can then be translated into further energy savings by downsizing the repeaters. The significant delay jitter due to capacitive coupling has been addressed and solutions are put forward to alleviate it. Circuit simulations in a 65nm process environment; indicate that energy savings up to 20% are achievable for a 6-cycle 9mm long 16-bit bus.

[1]  William J. Dally,et al.  Digital systems engineering , 1998 .

[2]  Soo-Young Oh,et al.  A scaling scheme for interconnect in deep-submicron processes , 1995, Proceedings of International Electron Devices Meeting.

[3]  Yehea I. Ismail,et al.  A skewed repeater bus architecture for on-chip energy reduction in microprocessors , 2005, 2005 International Conference on Computer Design.

[4]  Louis Scheffer Methodologies and tools for pipelined on-chip interconnect , 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[5]  Rodham E. Tulloss,et al.  The Test Access Port and Boundary Scan Architecture , 1990 .

[6]  Pradeep Dubey,et al.  Platform 2015: Intel ® Processor and Platform Evolution for the Next Decade , 2005 .

[7]  Christer Svensson,et al.  Timing closure through a globally synchronous, timing partitioned design methodology , 2004, Proceedings. 41st Design Automation Conference, 2004..

[8]  Massoud Pedram,et al.  A new design of double edge triggered flip-flops , 1998, Proceedings of 1998 Asia and South Pacific Design Automation Conference.

[9]  Bryan Black,et al.  3D processing technology and its impact on iA32 microprocessors , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

[10]  Anantha Chandrakasan,et al.  Three-dimensional integrated circuits: performance, design methodology, and CAD tools , 2003, IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..

[11]  Payman Zarkesh-Ha,et al.  Interconnect opportunities for gigascale integration , 2002, IBM J. Res. Dev..

[12]  Cheng-Kok Koh,et al.  Flip-flop and repeater insertion for early interconnect planning , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[13]  Alberto L. Sangiovanni-Vincentelli,et al.  Theory of latency-insensitive design , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[14]  Chih-Kong Ken Yang,et al.  Jitter optimization based on phase-locked loop design parameters , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).