Low power reduced-complexity error-resilient MIMO detector

This paper presents a reduced-complexity low power error-resilient K-Best MIMO Detector. A novel tree-enumeration method is proposed such that the error-resilient detection processes a reduced search space and is more suitable for VLSI design. Moreover, a circuit-level optimization is employed to further simplify the complexity. Experimental results are given showing that the circuit-level optimization decreases the detector area by 15% and power consumption by 41%. Moreover, we show that the proposed error-resilient MIMO detector with reduced-voltage memory can achieve a total of 19% reduction in power consumption compared with the conventional scheme, while still maintaining close-to optimal PER performance.

[1]  Gerald Matz,et al.  The effect of unreliable LLR storage on the performance of MIMO-BICM , 2010, 2010 Conference Record of the Forty Fourth Asilomar Conference on Signals, Systems and Computers.

[2]  Kiarash Amiri,et al.  A combined channel and hardware noise resilient Viterbi decoder , 2010, 2010 Conference Record of the Forty Fourth Asilomar Conference on Signals, Systems and Computers.

[3]  Babak Hassibi,et al.  On the sphere-decoding algorithm I. Expected complexity , 2005, IEEE Transactions on Signal Processing.

[4]  Christian Bernard,et al.  A 477mW NoC-based digital baseband for MIMO 4G SDR , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[5]  Kiarash Amiri,et al.  A Unified Hardware and Channel Noise Model for Communication Systems , 2010, 2010 IEEE Global Telecommunications Conference GLOBECOM 2010.

[6]  Naresh R. Shanbhag,et al.  Error-Resilient Low-Power Viterbi Decoder Architectures , 2009, IEEE Transactions on Signal Processing.

[7]  Andreas Peter Burg,et al.  K-best MIMO detection VLSI architectures achieving up to 424 Mbps , 2006, 2006 IEEE International Symposium on Circuits and Systems.

[8]  Naresh R. Shanbhag,et al.  Energy-Efficient LDPC Decoders Based on Error-Resiliency , 2012, 2012 IEEE Workshop on Signal Processing Systems.

[9]  Ahmed M. Eltawil,et al.  Error resilient MIMO detector for memory-dominated wireless communication systems , 2012, 2012 IEEE Global Communications Conference (GLOBECOM).

[10]  Mojtaba Mahdavi,et al.  Novel MIMO Detection Algorithm for High-Order Constellations in the Complex Domain , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  Naresh R. Shanbhag,et al.  Energy-efficiency bounds for deep submicron VLSI systems in the presence of noise , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[12]  Jürgen Götze,et al.  EXIT-Optimized Index Assignments for Turbo Decoders with Unreliable LLR Transfer , 2013, IEEE Communications Letters.