Recent advances in in-situ and in-field aging monitoring and compensation for integrated circuits: Invited paper
暂无分享,去创建一个
[1] David Blaauw,et al. Dynamic NBTI management using a 45nm multi-degradation sensor , 2010, IEEE Custom Integrated Circuits Conference 2010.
[2] David Blaauw,et al. Compact In-Situ Sensors for Monitoring Negative-Bias-Temperature-Instability Effect and Oxide Degradation , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[3] Ming Zhang,et al. Circuit Failure Prediction and Its Application to Transistor Aging , 2007, 25th IEEE VLSI Test Symposium (VTS'07).
[4] Mark Mohammad Tehranipoor,et al. Design and Analysis of a Delay Sensor Applicable to Process/Environmental Variations and Aging Measurements , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[5] M. Elmasry,et al. NBTI and Process Variations Compensation Circuits Using Adaptive Body Bias , 2012, IEEE Transactions on Semiconductor Manufacturing.
[6] S. Mahlke,et al. Online Timing Analysis for Wearout Detection , 2006 .
[7] Mingoo Seok,et al. Robust and in-situ self-testing technique for monitoring device aging effects in pipeline circuits , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[8] John Keane,et al. An All-In-One Silicon Odometer for Separately Monitoring HCI, BTI, and TDDB , 2010, IEEE Journal of Solid-State Circuits.
[9] Linda S. Milor,et al. Reliable cache design with on-chip monitoring of NBTI degradation in SRAM cells using BIST , 2010, 2010 28th VLSI Test Symposium (VTS).
[10] Kaushik Roy,et al. Characterization and Estimation of Circuit Reliability Degradation under NBTI using On-Line IDDQ Measurement , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[11] Zheng Guo,et al. SRAM stability characterization using tunable ring oscillators in 45nm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[12] Zhenyu Qi,et al. Small embeddable NBTI sensors (SENS) for tracking on-chip performance decay , 2009, 2009 10th International Symposium on Quality Electronic Design.
[13] Josep Torrellas,et al. Facelift: Hiding and slowing down aging in multicores , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[14] Xiaofei Wang,et al. A 32nm SRAM reliability macro for recovery free evaluation of NBTI and PBTI , 2012, 2012 International Electron Devices Meeting.
[15] C.H. Kim,et al. Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits , 2007, 2007 IEEE Symposium on VLSI Circuits.
[16] Lide Zhang,et al. Scheduled voltage scaling for increasing lifetime in the presence of NBTI , 2009, 2009 Asia and South Pacific Design Automation Conference.
[17] David Blaauw,et al. A Portable 2-Transistor Picowatt Temperature-Compensated Voltage Reference Operating at 0.5 V , 2012, IEEE Journal of Solid-State Circuits.
[18] Eisuke Saneyoshi,et al. A precise-tracking NBTI-degradation monitor independent of NBTI recovery effect , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[19] Peter R. Kinget,et al. Register file circuits and post-deployment framework to monitor aging effects in field , 2016, ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference.
[20] Zhenyu Qi,et al. NBTI resilient circuits using adaptive body biasing , 2008, GLSVLSI '08.
[21] Zhi-Hui Kong,et al. An 8T SRAM with BTI-Aware Stability Monitor and two-phase write operation for cell stability improvement in 28-nm FDSOI , 2016, ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference.
[22] P. Jain,et al. Impact of interconnect length on BTI and HCI induced frequency degradation , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).
[23] John Keane,et al. An Array-Based Odometer System for Statistically Significant Circuit Aging Characterization , 2011, IEEE Journal of Solid-State Circuits.
[24] Sachin S. Sapatnekar,et al. Impact of NBTI on SRAM read stability and design for reliability , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[25] Jaume Abella,et al. Penelope: The NBTI-Aware Processor , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[26] Vikas Chandra. Monitoring reliability in embedded processors - A multi-layer view , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[27] Peter R. Kinget,et al. 14.7 In-situ techniques for in-field sensing of NBTI degradation in an SRAM register file , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.
[28] Chris H. Kim,et al. An SRAM Reliability Test Macro for Fully Automated Statistical Measurements of VMIN Degradation , 2012, IEEE Trans. Circuits Syst. I Regul. Pap..
[29] Jie Li,et al. Negative-skewed shadow registers for at-speed delay variation characterization , 2007, 2007 25th International Conference on Computer Design.
[30] Kiyoo Itoh,et al. Supply voltage scaling for temperature insensitive CMOS circuit operation , 1998 .
[31] S. Demuynck,et al. AC NBTI studied in the 1 Hz -- 2 GHz range on dedicated on-chip CMOS circuits , 2006, 2006 International Electron Devices Meeting.
[32] David Blaauw,et al. Dynamic NBTI Management Using a 45 nm Multi-Degradation Sensor , 2011, IEEE Trans. Circuits Syst. I Regul. Pap..
[33] Mattan Erez,et al. NBTI-aware DVFS: A new approach to saving energy and increasing processor lifetime , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).
[34] John Keane,et al. An On-Chip NBTI Sensor for Measuring pMOS Threshold Voltage Degradation , 2010, IEEE Trans. Very Large Scale Integr. Syst..
[35] Stephen P. Boyd,et al. Self-Tuning for Maximized Lifetime Energy-Efficiency in the Presence of Circuit Aging , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[36] D. Schmitt-Landsiedel,et al. A 65nm test structure for the analysis of NBTI induced statistical variation in SRAM transistors , 2008, ESSDERC 2008 - 38th European Solid-State Device Research Conference.