A New Robust Paradigm for Diagnosing Hold-Time Faults in Scan Chains

Hold-time violation is a common cause of failure at scan chains. A robust new paradigm for diagnosing such failure is presented in this paper. As compared to previous methods, the major advantage of ours is the ability to tolerate non-ideal conditions, e.g., under the presence of certain core logic faults or for those faults that manifest themselves intermittently. We first formulate the diagnosis problem as a delay insertion process. Then, two algorithms including a greedy algorithm and a so-called best-alignment based algorithm are proposed. Experimental results on a number of real designs are presented to demonstrate its effectiveness

[1]  Yuejian Wu,et al.  Diagnosis of scan chain failures , 1998, Proceedings 1998 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (Cat. No.98EX223).

[2]  Wu-Tung Cheng,et al.  Intermittent scan chain fault diagnosis based on signal probability analysis , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[3]  Shi-Yu Huang,et al.  Quick scan chain diagnosis using signal profiling , 2005, 2005 International Conference on Computer Design.

[4]  A. J. Weger,et al.  A novel scan chain diagnostics technique based on light emission from leakage current , 2004 .

[5]  Shi-Yu Huang,et al.  Diagnosis by Image Recovery: Finding Mixed Multiple Timing Faults in a Scan Chain , 2007, IEEE Transactions on Circuits and Systems II: Express Briefs.

[6]  Chien-Mo James Li Diagnosis of Timing Faults in Scan Chains Using Single Excitation Patterns , 2005, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

[7]  J. Chien-Mo Li,et al.  Diagnosis of single stuck-at faults and multiple timing faults in scan chains , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[8]  P. Dangerfield Logic , 1996, Aristotle and the Stoics.

[9]  Srikanth Venkataraman,et al.  A technique for fault diagnosis of defects in scan chains , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[10]  Sandip Kundu,et al.  On diagnosis of faults in a scan-chain , 1993, Digest of Papers Eleventh Annual 1993 IEEE VLSI Test Symposium.

[11]  Kevin Stanley,et al.  High-Accuracy Flush-and-Scan Software Diagnostic , 2001, IEEE Des. Test Comput..

[12]  S. Narayanan,et al.  An efficient scheme to diagnose scan chains , 1997, Proceedings International Test Conference 1997.

[13]  Srinivas Patil,et al.  Skewed-Load Transition Test: Part II, Coverage , 1992, Proceedings International Test Conference 1992.

[14]  Wu-Tung Cheng,et al.  Efficient diagnosis for multiple intermittent scan chain hold-time faults , 2003, 2003 Test Symposium.

[15]  Janusz Rajski,et al.  Compressed pattern diagnosis for scan chain failures , 2005, IEEE International Conference on Test, 2005..

[16]  J. Hirase,et al.  Scan chain diagnosis using IDDQ current measurement , 1999, Proceedings Eighth Asian Test Symposium (ATS'99).

[17]  R. J. McNulty,et al.  Partner SRLs for improved shift register diagnostics , 1992, Digest of Papers. 1992 IEEE VLSI Test Symposium.

[18]  Jacob Savir Skewed-Load Transition Test: Part I, Calculus , 1992, Proceedings International Test Conference 1992.

[19]  Geetani Edirisooriya,et al.  Diagnosis of scan path failures , 1995, Proceedings 13th IEEE VLSI Test Symposium.

[20]  Sudhakar M. Reddy,et al.  Statistical diagnosis for intermittent scan chain hold-time fault , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[21]  Shi-Yu Huang,et al.  A New Robust Paradigm for Diagnosing Hold-Time Faults in Scan Chains , 2006 .