EM-Aware and Lifetime-Constrained Optimization for Multisegment Power Grid Networks

This paper proposes a new power–ground (P/G) network sizing technique based on the recently proposed fast electromigration (EM) immortality check method for general multisegment interconnect wires and a new physics-based EM assessment technique for more accurate time to failure analysis. This paper first shows that the new P/G optimization problem, subject to the voltage IR drop and new EM constraints, can still be formulated as an efficient sequence of linear programing problem, where the optimization is carried out in two linear programing phases in each iteration. The new optimization will ensure that none of the wires fail if all the constraints are satisfied. However, requiring all the wires to be EM immortal can be overconstrained. To mitigate this problem, the first improvement is by means of adding reservoir branches to the mortal wires whose lifetime cannot be made immortal by wire sizing. This is a very effective approach as long as there is a sufficient reservoir area. The second improvement is to consider the aging effects of interconnect wires in the P/G networks. The idea is to allow some short-lifetime wires to fail and optimize the rest of the wires while considering the additional resistance caused by the failed wire segments. In this way, the resulting P/G networks can be optimized, such that the target lifetime of the whole P/G networks can be ensured and will become more robust and aging-aware over the expected lifetime of the chip. Numerical results on a number of IBM and self-generated power supply networks demonstrate that the new method can effectively reduce the area of the networks while ensuring immortality or enforcing target lifetime for all the wires, which is not the case for the existing current-density-constrained optimization methods.

[1]  Sheldon X.-D. Tan,et al.  Physics-Based Electromigration Models and Full-Chip Assessment for Power Grid Networks , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  Lijuan Zhang Effects of scaling and grain structure on electromigration reliability of Cu interconnects , 2010 .

[3]  Sheldon X.-D. Tan,et al.  Fast Electromigration Immortality Analysis for Multisegment Copper Interconnect Wires , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  D. Restaino,et al.  Effects of overlayers on electromigration reliability improvement for Cu/low K interconnects , 2004, 2004 IEEE International Reliability Physics Symposium. Proceedings.

[5]  J. Black,et al.  Electromigration—A brief survey and some recent results , 1969 .

[6]  Malgorzata Marek-Sadowska,et al.  On-chip power-supply network optimization using multigrid-based technique , 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[7]  Sheldon X.-D. Tan,et al.  Finite difference method for electromigration analysis of multi-branch interconnects , 2016, 2016 13th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD).

[8]  M. Korhonen,et al.  Stress evolution due to electromigration in confined metal lines , 1993 .

[9]  M. Breuer,et al.  Minimal area design of power/ground nets having graph topologies , 1987 .

[10]  Melvin A. Breuer,et al.  Optimum design of IC power/ground nets subject to reliability constraints , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[11]  Jens Lienig,et al.  Fundamentals of Electromigration-Aware Integrated Circuit Design , 2018 .

[12]  S. Chowdhury Optimum Design of Reliable IC Power Networks Having General Graph Topologies , 1989, 26th ACM/IEEE Design Automation Conference.

[13]  Yici Cai,et al.  Physics-based electromigration modeling and assessment for multi-segment interconnects in power grid networks , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[14]  Sheldon X.-D. Tan,et al.  Efficient very large scale integration power/ground network sizing based on equivalent circuit modeling , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[15]  Sani R. Nassif,et al.  Power grid analysis benchmarks , 2008, 2008 Asia and South Pacific Design Automation Conference.

[16]  Farid N. Najm,et al.  Power Grid Electromigration Checking Using Physics-Based Models , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[17]  Sheldon X.-D. Tan,et al.  Fast physics-based electromigration analysis for multi-branch interconnect trees , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[18]  Sheldon X.-D. Tan,et al.  Voltage-based electromigration immortality check for general multi-branch interconnects , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[19]  Sheldon X.-D. Tan,et al.  Reliability-constrained area optimization of VLSI power/ground networks via sequence of linear programmings , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[20]  I. Blech Electromigration in thin aluminum films on titanium nitride , 1976 .

[21]  Donald E. Troxel,et al.  Reliability computer-aided design tool for full-chip electromigration analysis and comparison with different interconnect metallizations , 2007, Microelectron. J..

[22]  V. Sukharev Beyond Black’s equation: Full-chip EM/SM assessment in 3D IC stack , 2014 .

[23]  Malgorzata Marek-Sadowska,et al.  Automatic Sizing of Power/Ground (P/G) Networks in VLSI , 1989, 26th ACM/IEEE Design Automation Conference.

[24]  Sheldon X.-D. Tan,et al.  Fast Electromigration Stress Evolution Analysis for Interconnect Trees Using Krylov Subspace Method , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[25]  T. Sullivan,et al.  A Comprehensive Study of Low-k SiCOH TDDB Phenomena and Its Reliability Lifetime Model Development , 2006, 2006 IEEE International Reliability Physics Symposium Proceedings.

[26]  Sheldon X.-D. Tan,et al.  Analytical Modeling and Characterization of Electromigration Effects for Multibranch Interconnect Trees , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  S. Hau-Riege,et al.  Experimental characterization and modeling of the reliability of interconnect trees , 2001 .

[28]  Stefan P. Hau-Riege,et al.  Modeling and experimental characterization of electromigration in interconnect trees , 1999 .

[29]  A. Verbruggen,et al.  Resistance changes induced by the formation of a single void/hillock during electromigration , 1998 .

[30]  C. Hu,et al.  Electromigration in Al(Cu) two-level structures: Effect of Cu and kinetics of damage formation , 1993 .

[31]  Sheldon X.-D. Tan,et al.  Accelerating electromigration aging for fast failure detection for nanometer ICs , 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).