UNION: A Unified Inter/Intrachip Optical Network for Chip Multiprocessors

As modern computing systems become increasingly complex, communication efficiency among and inside chips has become as important as the computation speeds of individual processing cores. Traditionally, to maximize design flexibility, interchip and intrachip communication architectures are separately designed under different constraints. Jointly designing communication architectures for both interchip and intrachip communication could, however, potentially yield better solutions. In this paper, we present a unified inter/intrachip optical network, called UNION, for chip multiprocessors (CMPs). UNION is based on recent progresses in nanophotonic technologies. It connects not only cores on a single CMP, but also multiple CMPs in a system. UNION employs a hierarchical optical network to separate interchip communication traffic from intrachip communication traffic. It fully utilizes a single optical network to transmit both payload and control packets. The network controller on each CMP not only manages intrachip communications, but also collaborates with each other to facilitate interchip communications. We compared UNION with a matched electrical counterpart in 45-nm process. Simulation results for eight real CMP applications show that on average UNION improves CMP performance by 3× while reducing 88% of network energy consumption.

[1]  W. Daniel Hillis,et al.  The Network Architecture of the Connection Machine CM-5 , 1996, J. Parallel Distributed Comput..

[2]  S. Imamura,et al.  Polymeric optical waveguide films with 45° mirrors formed with a 90° V-shaped diamond blade , 1997 .

[3]  G. Papen,et al.  Extraction of VCSEL rate-equation parameters for low-bias system simulation , 1999 .

[4]  Alain Greiner,et al.  A generic architecture for on-chip packet-switched interconnections , 2000, DATE '00.

[5]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[6]  P. Kapur,et al.  Comparisons between electrical and optical interconnects for on-chip signaling , 2002, Proceedings of the IEEE 2002 International Interconnect Technology Conference (Cat. No.02EX519).

[7]  Predrag Milojkovic,et al.  Multiscale optical design for global chip-to-chip optical interconnections and misalignment tolerant packaging , 2003 .

[8]  Martin L. Schmatz,et al.  High-density optical interconnects within large-scale systems , 2003, Photonics Fabrication Europe.

[9]  Partha Pratim Pande,et al.  Design of a switch for network on chip applications , 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..

[10]  Ian O'Connor,et al.  Optical solutions for system-level interconnect , 2004, SLIP '04.

[11]  H. Thienpont,et al.  MT-compatible laser-ablated interconnections for optical printed circuit boards , 2004, Journal of Lightwave Technology.

[12]  C. Berger,et al.  Characterization of parallel optical-interconnect waveguides integrated on a printed circuit board , 2004, SPIE Photonics Europe.

[13]  A. Andreou,et al.  A 2.5-mW SOS CMOS optical receiver for chip-to-chip interconnect , 2004, Journal of Lightwave Technology.

[14]  Éva Tardos,et al.  Algorithm design , 2005 .

[15]  F. Ellinger,et al.  A 100-mW 4/spl times/10 Gb/s transceiver in 80-nm CMOS for high-density optical interconnects , 2005, IEEE Journal of Solid-State Circuits.

[16]  Jörg Henkel,et al.  H. 264 HDTV Decoder Using Application-Specific Networks-On-Chip , 2005, 2005 IEEE International Conference on Multimedia and Expo.

[17]  Hyo-Hoon Park,et al.  Passively assembled optical interconnection system based on an optical printed-circuit board , 2006, IEEE Photonics Technology Letters.

[18]  F. Xia,et al.  Group index and group velocity dispersion in silicon-on-insulator photonic wires. , 2006, Optics express.

[19]  Z. Ding,et al.  Level-wise Scheduling Algorithm for Fat Tree Interconnection Networks , 2006, ACM/IEEE SC 2006 Conference (SC'06).

[20]  J. Doylend,et al.  Design and Simulation of an Integrated Fiber-to-Chip Coupler for Silicon-on-Insulator Waveguides , 2006, IEEE Journal of Selected Topics in Quantum Electronics.

[21]  Alyssa B. Apsel,et al.  Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[22]  S. Xiao,et al.  Multiple-channel silicon micro-resonator based filters for WDM applications. , 2007, Optics express.

[23]  F. Xia,et al.  Ultracompact optical buffers on a silicon chip , 2007 .

[24]  G. Masini,et al.  A 1550nm, 10Gbps monolithic optical receiver in 130nm CMOS with integrated Ge waveguide photodetector , 2007, 2007 4th IEEE International Conference on Group IV Photonics.

[25]  Pedro López,et al.  Deterministic versus Adaptive Routing in Fat-Trees , 2007, 2007 IEEE International Parallel and Distributed Processing Symposium.

[26]  M. Horowitz,et al.  A 14-mW 6.25-Gb/s Transceiver in 90-nm CMOS , 2007, IEEE Journal of Solid-State Circuits.

[27]  Nikil D. Dutt,et al.  ORB: An on-chip optical ring bus communication architecture for multi-processor systems-on-chip , 2008, 2008 Asia and South Pacific Design Automation Conference.

[28]  A Syrbu,et al.  10 Gbps VCSELs with High Single Mode Output in 1310nm and 1550 nm Wavelength Bands , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.

[29]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.

[30]  Fang Xu,et al.  Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip , 2008, SPIE OPTO.

[31]  T. Anan,et al.  High-speed 1.1-μm-range InGaAs VCSELs , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.

[32]  James E. Jaussi,et al.  A Scalable 5–15 Gbps, 14–75 mW Low-Power I/O Transceiver in 65 nm CMOS , 2008, IEEE Journal of Solid-State Circuits.

[33]  C L Schow,et al.  Chip-to-chip board-level optical data buses , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.

[34]  Xue Liu,et al.  Efficient SAT-Based Mapping and Scheduling of Homogeneous Synchronous Dataflow Graphs for Throughput Optimization , 2008, 2008 Real-Time Systems Symposium.

[35]  Raymond G. Beausoleil,et al.  Nanoelectronic and Nanophotonic Interconnect , 2008, Proceedings of the IEEE.

[36]  Christopher Batten,et al.  Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[37]  C. Fonstad,et al.  Full recess integration of small diameter low threshold VCSELs within Si-CMOS ICs. , 2008, Optics express.

[38]  Luca P. Carloni,et al.  Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.

[39]  S. Mukhopadhyay,et al.  TSV-aware interconnect length and power prediction for 3D stacked ICs , 2009, 2009 IEEE International Interconnect Technology Conference.

[40]  Wei Zhang,et al.  A low-power fat tree-based optical Network-On-Chip for multiprocessor system-on-chip , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[41]  Samuel Palermo,et al.  Optical I/O technology for tera-scale computing , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[42]  Partha Pratim Pande,et al.  Networks-on-Chip in a Three-Dimensional Environment: A Performance Evaluation , 2009, IEEE Transactions on Computers.

[43]  David H. Albonesi,et al.  Phastlane: a rapid transit optical routing network , 2009, ISCA '09.

[44]  Christopher Batten,et al.  Silicon-photonic clos networks for global on-chip communication , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[45]  Lin Yang,et al.  Four-channel reconfigurable optical add-drop multiplexer based on photonic wire waveguide. , 2009, Optics express.

[46]  Yu Zhang,et al.  Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.

[47]  D. Syvridis,et al.  Dynamic Analysis of Crosstalk Performance in Microring-Based Add/Drop Filters , 2009, Journal of Lightwave Technology.

[48]  Ashok V. Krishnamoorthy,et al.  Silicon-photonic network architectures for scalable, power-efficient multi-chip systems , 2010, ISCA '10.

[49]  J. Lott,et al.  850 nm VCSELs for up to 40 Gbit/s short reach data links , 2010, CLEO/QELS: 2010 Laser Science to Photonic Applications.

[50]  Wei Zhang,et al.  UNION: A unified inter/intra-chip optical network for chip multiprocessors , 2010, 2010 IEEE/ACM International Symposium on Nanoscale Architectures.

[51]  Wei Zhang,et al.  Crosstalk noise and bit error rate analysis for optical network-on-chip , 2010, Design Automation Conference.

[52]  John Kim,et al.  FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

[53]  Christof Teuscher,et al.  Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems , 2011, IEEE Transactions on Computers.

[54]  R. Ho,et al.  Progress in Low-Power Switched Optical Interconnects , 2011, IEEE Journal of Selected Topics in Quantum Electronics.