A 3D SoC design for H.264 application with on-chip DRAM stacking
暂无分享,去创建一个
Tao Zhang | Kui Wang | Yuan Xie | Yan Chen | Qun Li | Youn-Long Lin | Lian Duan | Xu Cheng | Yi Feng | Jing Xie | Bing Shao | Xiaodi Song
[1] Jian-Wen Chen,et al. Introduction to H.264 advanced video coding , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[2] Paul D. Franzon,et al. Design and CAD for 3D integrated circuits , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[3] Hsien-Hsin S. Lee,et al. An optimized 3D-stacked memory architecture by exploiting excessive, high-density TSV bandwidth , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[4] Hsien-Hsin S. Lee,et al. Test Challenges for 3D Integrated Circuits , 2009, IEEE Design & Test of Computers.
[5] Gabriel H. Loh,et al. 3D-Stacked Memory Architectures for Multi-core Processors , 2008, 2008 International Symposium on Computer Architecture.
[6] Saurabh Dighe,et al. An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[7] Yuan Xie,et al. System-level cost analysis and design exploration for three-dimensional integrated circuits (3D ICs) , 2009, 2009 Asia and South Pacific Design Automation Conference.
[8] Jason Cong,et al. Three-Dimensional Integrated Circuit Design: EDA, Design and Microarchitectures , 2009 .
[9] Anna Fontanelli. System-in-Package Technology: Opportunities and Challenges , 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).
[10] Eby G. Friedman,et al. Three-dimensional Integrated Circuit Design , 2008 .
[11] Tong Zhang,et al. Improving VLIW Processor Performance Using Three-Dimensional (3D) DRAM Stacking , 2009, 2009 20th IEEE International Conference on Application-specific Systems, Architectures and Processors.
[12] Paul D. Franzon,et al. Design automation for a 3DIC FFT processor for synthetic aperture radar: A case study , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[13] Yuan Xie,et al. Processor Design in 3D Die-Stacking Technologies , 2007, IEEE Micro.
[14] Masayuki Nakajima,et al. A chip-stacked memory for on-chip SRAM-rich SoCs and processors , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[15] Feng Liu,et al. Research Progress of UniCore CPUs and PKUnity SoCs , 2010, Journal of Computer Science and Technology.
[16] Subarna Sinha,et al. The road to 3D EDA tool readiness , 2009, 2009 Asia and South Pacific Design Automation Conference.
[17] Mor Harchol-Balter,et al. ATLAS: A scalable and high-performance scheduling algorithm for multiple memory controllers , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[18] Peter Ramm,et al. 3D Integration: Technology and Applications , 2008 .
[19] Jason Cong,et al. Three Dimensional Integrated Circuit Design , 2010 .