On the Minimization of the Instantaneous Temperature for Periodic Real-Time Tasks ∗

While there is a tradeoff between the energy consumption and the satisfaction of task deadlines, the management of the processor temperature is of paramount important to the survival of the processor and the reduction of packing cost. This paper explores the scheduling of periodic real-time tasks with temperatureaware considerations in a uniprocessor or homogeneous multiprocessor environment. By modeling the cooling process approximately according to Fourier’s Law, a 2.719-approximation algorithm is shown for the minimization of the maximum temperature for processors with continuous processor speeds. When the processor is with discrete speeds only, we extend the 2.719approximation algorithm to manage the voltage/speed transition so that the maximum temperature can be minimized. For homogeneous multiprocessor systems, we show that the largest-taskfirst strategy has a 3.072-approximation bound in the minimization of the maximum temperature when all of the processors are on a chip. When each processor is on a chip, the approximation bound in the minimization of the maximum temperature is 6.444. When jobs might complete earlier than their worst-case estimation, dynamic scheduling is further explored to reduce the maximum temperature.

[1]  Rami G. Melhem,et al.  Dynamic and aggressive scheduling techniques for power-aware real-time systems , 2001, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420).

[2]  Dakai Zhu Reliability-Aware Dynamic Energy Management in Dependable Embedded Real-Time Systems , 2006, IEEE Real Time Technology and Applications Symposium.

[3]  Tei-Wei Kuo,et al.  Leakage-Aware Energy-Efficient Scheduling of Real-Time Tasks in Multiprocessor Systems , 2006, 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS'06).

[4]  Kevin Skadron,et al.  Temperature-aware microarchitecture , 2003, ISCA '03.

[5]  Tei-Wei Kuo,et al.  Slack reclamation for real-time task scheduling over dynamic voltage scaling multiprocessors , 2006, IEEE International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing (SUTC'06).

[6]  Yao-Wen Chang,et al.  Joint exploration of architectural and physical design spaces with thermal consideration , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

[7]  Rajesh K. Gupta,et al.  Dynamic slack reclamation with procrastination scheduling in real-time embedded systems , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[8]  Margaret Martonosi,et al.  Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

[9]  Rami G. Melhem,et al.  Minimizing expected energy in real-time embedded systems , 2005, EMSOFT.

[10]  Kang G. Shin,et al.  Real-time dynamic voltage scaling for low-power embedded operating systems , 2001, SOSP.

[11]  Riccardo Bettati,et al.  Delay Analysis in Temperature-Constrained Hard Real-Time Systems with General Task Arrivals , 2006, 2006 27th IEEE International Real-Time Systems Symposium (RTSS'06).

[12]  Kirk Pruhs,et al.  Dynamic speed scaling to manage energy and temperature , 2004, 45th Annual IEEE Symposium on Foundations of Computer Science.

[13]  Qi Yang,et al.  Energy-aware partitioning for multiprocessor real-time systems , 2003, Proceedings International Parallel and Distributed Processing Symposium.

[14]  Seung-Moon Yoo,et al.  A framework for dynamic energy efficiency and temperature management , 2000, Proceedings 33rd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-33 2000.

[15]  Rami G. Melhem,et al.  Scheduling with dynamic voltage/speed adjustment using slack reclamation in multi-processor real-time systems , 2001, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420).

[16]  Tei-Wei Kuo,et al.  Multiprocessor energy-efficient scheduling with task migration considerations , 2004, Proceedings. 16th Euromicro Conference on Real-Time Systems, 2004. ECRTS 2004..

[17]  Chung Laung Liu,et al.  Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment , 1989, JACM.

[18]  J. Vitter,et al.  Approximations with Minimum Packing Constraint Violation , 1992 .

[19]  Mahmut T. Kandemir,et al.  Thermal-aware task allocation and scheduling for embedded systems , 2005, Design, Automation and Test in Europe.

[20]  Hiroto Yasuura,et al.  Voltage scheduling problem for dynamically variable voltage processors , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[21]  Vijay V. Vazirani,et al.  Approximation Algorithms , 2001, Springer Berlin Heidelberg.

[22]  Tei-Wei Kuo,et al.  Voltage-scaling scheduling for periodic real-time tasks in reward maximization , 2005, 26th IEEE International Real-Time Systems Symposium (RTSS'05).

[23]  Jan M. Rabaey,et al.  Digital Integrated Circuits , 2003 .

[24]  Riccardo Bettati,et al.  Reactive speed control in temperature-constrained real-time systems , 2006, 18th Euromicro Conference on Real-Time Systems (ECRTS'06).