Power- and performance-efficient cluster-based network-on-chip with reconfigurable topology

Topology is widely known as the most important characteristic of networks-on-chip (NoC), since it highly affects overall network performance, cost, and power consumption. In this paper, we propose a reconfigurable architecture and design flow for NoCs on which a customized topology for any target application can be implemented. In this structure, the nodes are grouped into some clusters interconnected by a reconfigurable communication infrastructure. The nodes inside a cluster are connected by a mesh to benefit from the interesting characteristics of the mesh topology, i.e. regular structure and efficient handling of local traffic. A reconfigurable inter-cluster topology then eliminates the major shortcoming of the mesh by providing short paths between remotely located nodes. We then present a design flow that maps the frequently communicating tasks of a given application onto the same cluster and exploits the reconfigurable infrastructure to set up appropriate inter-cluster connections. The experimental results show that by efficiently handling local and long-distance traffic flows, this structure is scalable, and power- and performance-efficient.

[1]  Ravindra K. Ahuja,et al.  Network Flows: Theory, Algorithms, and Applications , 1993 .

[2]  Reetuparna Das,et al.  Power-aware NoCs through routing and topology reconfiguration , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[3]  Natalie D. Enright Jerger,et al.  Dodec: Random-Link, Low-Radix On-Chip Networks , 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

[4]  Jens Sparsø,et al.  ReNoC: A Network-on-Chip Architecture with Reconfigurable Topology , 2008 .

[5]  Luca Benini,et al.  Networks on chip: a new paradigm for systems on chip design , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[6]  Vincenzo Catania,et al.  Multi-objective mapping for mesh-based NoC architectures , 2004, International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004..

[7]  Luca Benini,et al.  NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.

[8]  Srinivasan Murali,et al.  SUNMAP: a tool for automatic topology selection and generation for NoCs , 2004, Proceedings. 41st Design Automation Conference, 2004..

[9]  William J. Dally,et al.  Research Challenges for On-Chip Interconnection Networks , 2007, IEEE Micro.

[10]  Guojie Luo,et al.  Accelerate FPGA routing with parallel recursive partitioning , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[11]  Luca Benini,et al.  Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[12]  Babak Falsafi,et al.  NOC-Out: Microarchitecting a Scale-Out Processor , 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

[13]  Hamid Sarbazi-Azad,et al.  Virtual Point-to-Point Connections for NoCs , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  Chenchen Deng,et al.  An Efficient Application Mapping Approach for the Co-Optimization of Reliability, Energy, and Performance in Reconfigurable NoC Architectures , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[15]  Todd M. Austin,et al.  Polymorphic On-Chip Networks , 2008, 2008 International Symposium on Computer Architecture.

[16]  Sri Parameswaran,et al.  NoCOUT : NoC topology generation with mixed packet-switched and point-to-point networks , 2008, 2008 Asia and South Pacific Design Automation Conference.

[17]  Tianshi Chen,et al.  FreeRider: Non-Local Adaptive Network-on-Chip Routing with Packet-Carried Propagation of Congestion Information , 2015, IEEE Transactions on Parallel and Distributed Systems.

[18]  Hamid Sarbazi-Azad,et al.  An efficient dynamically reconfigurable on-chip network architecture , 2010, Design Automation Conference.

[19]  Hamid Sarbazi-Azad,et al.  Application-Aware Topology Reconfiguration for On-Chip Networks , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[20]  Stamatis Vassiliadis,et al.  FLUX Networks: Interconnects on Demand , 2006, 2006 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation.

[21]  Vipin Kumar,et al.  A Fast and High Quality Multilevel Scheme for Partitioning Irregular Graphs , 1998, SIAM J. Sci. Comput..

[22]  Li-Shiuan Peh,et al.  Smart: Single-Cycle Multihop Traversals over a Shared Network on Chip , 2014, IEEE Micro.

[23]  Srinivasan Murali,et al.  Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[24]  Anantha Chandrakasan,et al.  SCORPIO: A 36-core research chip demonstrating snoopy coherence on a scalable mesh NoC with in-network ordering , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

[25]  Ravi Nair,et al.  A Simple Yet Effective Technique for Global Wiring , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[26]  Huawei Li,et al.  An abacus turn model for time/space-efficient reconfigurable routing , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

[27]  Kun Yuan,et al.  BoxRouter 2.0: architecture and implementation of a hybrid and robust global router , 2007, ICCAD 2007.

[28]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[29]  Wayne H. Wolf,et al.  TGFF: task graphs for free , 1998, Proceedings of the Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE'98).

[30]  Axel Jantsch,et al.  Networks on chip , 2003 .

[31]  Radu Marculescu,et al.  Energy- and performance-driven NoC communication architecture synthesis using a decomposition approach , 2005, Design, Automation and Test in Europe.

[32]  Valeria Bertacco,et al.  High-radix on-chip networks with low-radix routers , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[33]  Srinivasan Murali,et al.  A Methodology for Mapping Multiple Use-Cases onto Networks on Chips , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[34]  Marcel Gort,et al.  Deterministic multi-core parallel routing for FPGAs , 2010, 2010 International Conference on Field-Programmable Technology.

[35]  Rob A. Rutenbar,et al.  FPGA routing and routability estimation via Boolean satisfiability , 1998, IEEE Trans. Very Large Scale Integr. Syst..

[36]  Hamid Sarbazi-Azad,et al.  Reconfigurable Cluster-Based Networks-on-Chip for Application-Specific MPSoCs , 2012, 2012 IEEE 23rd International Conference on Application-Specific Systems, Architectures and Processors.

[37]  Lizhong Chen,et al.  MP3: Minimizing performance penalty for power-gating of Clos network-on-chip , 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

[38]  Philip Brisk,et al.  Parallel FPGA routing based on the operator formulation , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[39]  Ting-Chi Wang,et al.  A new global router for modern designs , 2008, 2008 Asia and South Pacific Design Automation Conference.

[40]  Radu Marculescu,et al.  Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures , 2003, DATE.