Skewed Flip-Flop and Mixed-$V_{t}$ Gates for Minimizing Leakage in Sequential Circuits

Mixed V t has been widely used to control leakage without affecting circuit performance. However, existing approaches only target combinational circuits, even though sequential elements such as flip-flops contribute an appreciable proportion of the total leakage. Applying high V t to ordinary flip-flops would reduce the number of combinational gates that can be assigned to high V t, because any timing slacks would be absorbed by the increased setup guard time and propagation delay of the high-V t flip-flops. A skewed flip-flop (SFF) can be constructed by replacing a subset of transistors in a conventional flip-flop with low-leakage devices, such as large- L gate transistors. In terms of leakage and delay, SFFs exhibit very skewed characteristic, which depends on the transistors that are replaced. Our algorithm selectively substitutes SFFs for conventional flip-flops in sequential circuits so as to reduce the leakage while continuing to satisfy the timing constraint. When combined with the mixed-V t combinational circuits, this achieves an average leakage saving of 15% compared to mixed V t alone. The leakage of the flip-flops themselves is cut by 25% on average.

[1]  Sarma Vrudhula,et al.  Investigation of power delay trade-offs for dual Vt CMOS circuits , 1999 .

[2]  T. Fujita,et al.  A 0.9 V 150 MHz 10 mW 4 mm/sup 2/ 2-D discrete cosine transform core processor with variable-threshold-voltage scheme , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.

[3]  David Blaauw,et al.  Statistical optimization of leakage power considering process variations using dual-Vth and sizing , 2004, Proceedings. 41st Design Automation Conference, 2004..

[4]  S. Borkar,et al.  Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

[5]  Puneet Gupta,et al.  Gate-length biasing for runtime-leakage control , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  Gaurav Mittal,et al.  Design of the Power6 Microprocessor , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[7]  Jamil Kawa,et al.  Design for Manufacturability and Yield for Nano-Scale CMOS , 2007, Series on Integrated Circuits and Systems.

[8]  Sarvesh Bhardwaj,et al.  A Fast and Accurate approach for Full Chip Leakage Analysis of Nano-scale circuits considering Intra-die Correlations , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

[9]  Narayanan Vijaykrishnan,et al.  Evaluating run-time techniques for leakage power reduction , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

[10]  P. R. Stephan,et al.  SIS : A System for Sequential Circuit Synthesis , 1992 .

[11]  David Blaauw,et al.  Static leakage reduction through simultaneous threshold voltage and state assignment , 2003, DAC '03.

[12]  Sarma B. K. Vrudhula,et al.  An investigation of power delay trade-offs for dual V/sub t/ CMOS circuits , 1999, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).

[13]  Tadahiro Kuroda,et al.  Variable Threshold-Voltage (VT) Scheme , 1996 .

[14]  B. Ricco,et al.  Estimate of signal probability in combinational logic networks , 1989, [1989] Proceedings of the 1st European Test Conference.

[15]  N. Kawabe,et al.  Automated selective multi-threshold design for ultra-low standby applications , 2002, Proceedings of the International Symposium on Low Power Electronics and Design.

[16]  T. Sakurai,et al.  A super cut-off CMOS (SCCMOS) scheme for 0.5-V supply voltage with picoampere stand-by current , 2000, IEEE Journal of Solid-State Circuits.

[17]  Kwang-Ting Cheng,et al.  Fast statistical timing analysis by probabilistic event propagation , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[18]  Takayasu Sakurai,et al.  Boosted gate MOS (BGMOS): device/circuit cooperation scheme to achieve leakage-free giga-scale integration , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[19]  Luca Benini,et al.  State assignment for low power dissipation , 1995 .

[20]  Hiroshi Kawaguchi,et al.  A reduced clock-swing flip-flop (RCSFF) for 63% power reduction , 1998, IEEE J. Solid State Circuits.

[21]  Youngsoo Shin,et al.  Simultaneous Control of Subthreshold and Gate Leakage Current in Nanometer-Scale CMOS Circuits , 2007, ASP-DAC.

[22]  Sarma B. K. Vrudhula,et al.  Static power optimization of deep submicron CMOS circuits for dual VT technology , 1998, ICCAD.

[23]  S. Tam,et al.  A 65-nm Dual-Core Multithreaded Xeon® Processor With 16-MB L3 Cache , 2007, IEEE Journal of Solid-State Circuits.

[24]  Shin'ichiro Mutoh,et al.  1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS , 1995, IEEE J. Solid State Circuits.

[25]  Sachin S. Sapatnekar,et al.  Full-chip analysis of leakage power under process variations, including spatial correlations , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[26]  Sachin S. Sapatnekar,et al.  Standby power optimization via transistor sizing and dual threshold voltage assignment , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[27]  L.T. Clark,et al.  Reverse-body bias and supply collapse for low effective standby power , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[28]  Byunghee Choi,et al.  Lookup Table-Based Adaptive Body Biasing of Multiple Macros , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[29]  Santanu Chattopadhyay,et al.  Finite state machine state assignment targeting low power consumption , 2004 .

[30]  Keshab K. Parhi,et al.  Low power synthesis of dual threshold voltage CMOS VLSI circuits , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[31]  H. Kawaguchi,et al.  Zigzag super cut-off CMOS (ZSCCMOS) block activation with self-adaptive voltage level controller: an alternative to clock-gating scheme in leakage dominant era , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[32]  Qi Wang,et al.  Static power optimization of deep submicron CMOS circuits for dual V/sub T/ technology , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[33]  Kimiyoshi Usami,et al.  Delay modeling and static timing analysis for MTCMOS circuits , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[34]  Yu Cao,et al.  New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[35]  D. J. Hathaway,et al.  Uncertainty-aware circuit optimization , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

[36]  Hyuk Kim,et al.  Physical design methodology of power gating circuits for standard-cell-based design , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[37]  Ieee Circuits,et al.  IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems information for authors , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.