Mapping of applications to MPSoCs

The advent of embedded many-core architectures results in the need to come up with techniques for mapping embedded applications onto such architectures. This paper presents a representative set of such techniques. The techniques focus on optimizing performance, temperature distribution, reliability and fault tolerance for various models.

[1]  Saurabh Dighe,et al.  The 48-core SCC Processor: the Programmer's View , 2010, 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis.

[2]  Tajana Simunic,et al.  Temperature Aware Task Scheduling in MPSoCs , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[3]  Qiang Xu,et al.  Customer-aware task allocation and scheduling for multi-mode MPSoCs , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[4]  Lothar Thiele,et al.  Generation and calibration of compositional performance analysis models for multi-processor systems , 2009, 2009 International Symposium on Systems, Architectures, Modeling, and Simulation.

[5]  A. Singh,et al.  Fault-tolerant systems , 1990, Computer.

[6]  Jürgen Teich,et al.  Towards Resource Aware Programming on Intel's Single-Chip Cloud Computer Processor , 2011, MARC Symposium.

[7]  Ashok K. Goel High-Speed VLSI Interconnections , 2007 .

[8]  Henry Hoffmann,et al.  On-Chip Interconnection Architecture of the Tile Processor , 2007, IEEE Micro.

[9]  Kevin Skadron,et al.  Temperature-aware microarchitecture: Modeling and implementation , 2004, TACO.

[10]  Jürgen Teich,et al.  Invasive Computing: An Overview , 2011, Multiprocessor System-on-Chip.

[11]  Jean-Yves Le Boudec,et al.  Network Calculus: A Theory of Deterministic Queuing Systems for the Internet , 2001 .

[12]  Qiang Xu,et al.  Energy-efficient task allocation and scheduling for multi-mode MPSoCs under lifetime reliability constraint , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[13]  Wayne H. Wolf,et al.  TGFF: task graphs for free , 1998, Proceedings of the Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE'98).

[14]  Lothar Thiele,et al.  Embedding formal performance analysis into the design cycle of MPSoCs for real-time streaming applications , 2012, TECS.

[15]  Tajana Rosing,et al.  Temperature aware task scheduling in MPSoCs , 2007 .

[16]  Jürgen Teich,et al.  Invasive Algorithms and Architectures Invasive Algorithmen und Architekturen , 2008, it Inf. Technol..

[17]  Qiang Xu,et al.  Lifetime reliability-aware task allocation and scheduling for MPSoC platforms , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[18]  Henk Corporaal,et al.  Embedded System Design , 2006 .

[19]  Rainer Leupers,et al.  MAPS: An integrated framework for MPSoC application parallelization , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[20]  Luca Benini,et al.  MPARM: Exploring the Multi-Processor SoC Design Space with SystemC , 2005, J. VLSI Signal Process..

[21]  Marcel Verhoef,et al.  System architecture evaluation using modular performance analysis: a case study , 2006, International Journal on Software Tools for Technology Transfer.

[22]  Ramesh Karri,et al.  Electromigration reliability enhancement via bus activity distribution , 1996, DAC '96.

[23]  Pradip Bose,et al.  The case for lifetime reliability-aware microprocessors , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

[24]  Qiang Xu,et al.  AgeSim: A simulation framework for evaluating the lifetime reliability of processor-based SoCs , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[25]  Lothar Thiele,et al.  A general framework for analysing system properties in platform-based embedded system designs , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[26]  Ed F. Deprettere,et al.  An Approach for Quantitative Analysis of Application-Specific Dataflow Architectures , 1997, ASAP.

[27]  C. Siva Ram Murthy,et al.  A Fault-Tolerant Dynamic Scheduling Algorithm for Multiprocessor Real-Time Systems and Its Analysis , 1998, IEEE Trans. Parallel Distributed Syst..

[28]  Lothar Thiele,et al.  Thermal-aware system analysis and software synthesis for embedded multi-processors , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[29]  E.A. Lee,et al.  Synchronous data flow , 1987, Proceedings of the IEEE.

[30]  Lothar Thiele,et al.  Worst-case temperature analysis for real-time systems , 2011, 2011 Design, Automation & Test in Europe.

[31]  Lothar Thiele,et al.  Mapping Applications to Tiled Multiprocessor Embedded Systems , 2007, Seventh International Conference on Application of Concurrency to System Design (ACSD 2007).

[32]  Jürgen Becker,et al.  Multiprocessor System-on-Chip - Hardware Design and Tool Integration , 2011, Multiprocessor System-on-Chip.

[33]  Ed F. Deprettere,et al.  Daedalus: Toward composable multimedia MP-SoC design , 2008, 2008 45th ACM/IEEE Design Automation Conference.