Fast Power- and Slew-Aware Gated Clock Tree Synthesis

Clock tree synthesis plays an important role on the total performance of chip. Gated clock tree is an effective approach to reduce the dynamic power usage. In this paper, two novel gated clock tree synthesizers, power-aware clock tree synthesizer (PACTS) and power- and slew-aware clock tree synthesizer (PSACTS), are proposed with zero skew achieved based on Elmore RC model. In PACTS, the topology of the clock tree is constructed with simultaneous buffer/gate insertion, which reduces the switched capacitance. In PSACTS, a more practical clock slew constraint is applied. Compared to previous works, clock tree synthesis is done first and followed by the insertions of clock gates. The clock slew changes a lot after the insertions of clock gates in real cases. In our work, the clock tree is constructed simultaneously with the insertions of clock gates. This ensures the limitation of the clock slew can be strictly satisfied while the limitation of the clock slew is always applied in the real design. The experimental results show that the power cost of our work is smaller and the runtime is reduced. The slew rate constraint is satisfied with a small clock skew from SPICE estimation. Generally, our work has better performance, improved efficiency and is more practical to be applied in the industry.

[1]  Massoud Pedram,et al.  Gated clock routing for low-power microprocessor design , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[2]  Ankur Srivastava,et al.  Activity-driven clock design , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[3]  Ying-Yu Chen,et al.  Clock tree synthesis under aggressive buffer insertion , 2010, Design Automation Conference.

[4]  Jiang Hu,et al.  Buffered clock tree for high quality IC design , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).

[5]  Gi-Joon Nam,et al.  Ispd2009 clock network synthesis contest , 2009, ISPD '09.

[6]  Jason Cong,et al.  Bounded-skew clock and Steiner routing , 1998, TODE.

[7]  Wai-Kei Mak,et al.  Low-power gated and buffered clock network construction , 2008, TODE.

[8]  L.P.P.P. van Ginneken,et al.  Buffer placement in distributed RC-tree networks for minimal Elmore delay , 1990 .

[9]  Cliff C. N. Sze ISPD 2010 high performance clock network synthesis contest: benchmark suite and results , 2010, ISPD '10.

[10]  Majid Sarrafzadeh,et al.  A Buffer Distribution Algorithm for High-Speed Clock Routing , 1993, 30th ACM/IEEE Design Automation Conference.

[11]  Luca Benini,et al.  Clock-tree power optimization based on RTL clock-gating , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[12]  R. Tsay Exact zero skew , 1991, ICCAD 1991.

[13]  W. C. Elmore The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .

[14]  Jan-Ming Ho,et al.  Zero skew clock routing with minimum wirelength , 1992 .

[15]  Kimiyoshi Usami,et al.  A clock-gating method for low-power LSI design , 1998, Proceedings of 1998 Asia and South Pacific Design Automation Conference.

[16]  Arvind Srinivasan,et al.  Clock routing for high-performance ICs , 1991, DAC '90.

[17]  Majid Sarrafzadeh,et al.  Activity-driven clock design for low power circuits , 1995, ICCAD.

[18]  Evangeline F. Y. Young,et al.  A dual-MST approach for clock network synthesis , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[19]  Majid Sarrafzadeh,et al.  Activity-sensitive clock tree construction for low power , 2002, ISLPED '02.

[20]  Masato Edahiro,et al.  A Clustering-Based Optimization Algorithm in Zero-Skew Routings , 1993, 30th ACM/IEEE Design Automation Conference.

[21]  Yici Cai,et al.  An Effective Gated Clock Tree Design Based on Activity and Register Aware Placement , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[22]  Andrew B. Kahng,et al.  Zero-skew clock routing trees with minimum wirelength , 1992, [1992] Proceedings. Fifth Annual IEEE International ASIC Conference and Exhibit.

[23]  Jason Cong,et al.  High-performance clock routing based on recursive geometric matching , 1991, 28th ACM/IEEE Design Automation Conference.

[24]  ChaoWei-Chung,et al.  Low-power gated and buffered clock network construction , 2008 .

[25]  Shih-Hsu Huang,et al.  Type-matching clock tree for zero skew clock gating , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[26]  Majid Sarrafzadeh,et al.  Minimal buffer insertion in clock trees with skew and slew rate constraints , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[27]  Malgorzata Marek-Sadowska,et al.  Low-power buffered clock tree design , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[28]  Yih-Lang Li,et al.  Minimizing clock latency range in robust clock tree synthesis , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[29]  Yiran Chen,et al.  DCG: deterministic clock-gating for low-power microprocessor design , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[30]  Massoud Pedram,et al.  Gated clock routing minimizing the switched capacitance , 1998, Proceedings Design, Automation and Test in Europe.

[31]  Laxmi N. Bhuyan,et al.  Low power network processor design using clock gating , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[32]  Weiping Shi,et al.  A fast algorithm for optimal buffer insertion , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[33]  Mircea R. Stan,et al.  Challenges in clockgating for a low power ASIC methodology , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).