Crystallinity of inorganic films grown by atomic layer deposition: Overview and general trends

Atomic layer deposition (ALD) is gaining attention as a thin film deposition method, uniquely suitable for depositing uniform and conformal films on complex three-dimensional topographies. The deposition of a film of a given material by ALD relies on the successive, separated, and self-terminating gas–solid reactions of typically two gaseous reactants. Hundreds of ALD chemistries have been found for depositing a variety of materials during the past decades, mostly for inorganic materials but lately also for organic and inorganic–organic hybrid compounds. One factor that often dictates the properties of ALD films in actual applications is the crystallinity of the grown film: Is the material amorphous or, if it is crystalline, which phase(s) is (are) present. In this thematic review, we first describe the basics of ALD, summarize the two-reactant ALD processes to grow inorganic materials developed to-date, updating the information of an earlier review on ALD [R. L. Puurunen, J. Appl. Phys. 97, 121301 (2005)], and give an overview of the status of processing ternary compounds by ALD. We then proceed to analyze the published experimental data for information on the crystallinity and phase of inorganic materials deposited by ALD from different reactants at different temperatures. The data are collected for films in their as-deposited state and tabulated for easy reference. Case studies are presented to illustrate the effect of different process parameters on crystallinity for representative materials: aluminium oxide, zirconium oxide, zinc oxide, titanium nitride, zinc zulfide, and ruthenium. Finally, we discuss the general trends in the development of film crystallinity as function of ALD process parameters. The authors hope that this review will help newcomers to ALD to familiarize themselves with the complex world of crystalline ALD films and, at the same time, serve for the expert as a handbook-type reference source on ALD processes and film crystallinity.

[1]  K. An,et al.  Atomic layer deposition of nickel oxide films using Ni(dmamp)2 and water , 2005 .

[2]  K. Nielsch,et al.  Tubular magnetic nanostructures based on glancing angle deposited templates and atomic layer deposition , 2010 .

[3]  M. Ozeki,et al.  Kinetic processes in atomic-layer epitaxy of GaAs and AlAs using a pulsed vapor-phase method , 1987 .

[4]  D. Antoniadis,et al.  Atomic layer deposition of insulating nitride interfacial layers for germanium metal oxide semiconductor field effect transistors with high-κ oxide/tungsten nitride gate stacks , 2007 .

[5]  S. Kulmala,et al.  Electrochemiluminescence of Tb(III) chelates at optically transparent tunnel emission electrodes fabricated by atomic layer deposition , 2005 .

[6]  Salah M. Bedair,et al.  Self‐limiting mechanism in the atomic layer epitaxy of GaAs , 1986 .

[7]  Mann-Ho Cho,et al.  Band gap and band offsets for ultrathin (HfO2)x(SiO2)1−x dielectric films on Si (100) , 2006 .

[8]  H. Hwang,et al.  Electrical Properties of Atomic Layer Deposited HfO2 Gate Dielectric Film Using D2O as Oxidant for Improved Reliability , 2006 .

[9]  L. Marsal,et al.  Nanostructures formation and optical properties of II-VI semiconductor compounds , 2002 .

[10]  Stacey F. Bent,et al.  Comparative Study of Titanium Dioxide Atomic Layer Deposition on Silicon Dioxide and Hydrogen-Terminated Silicon , 2010 .

[11]  M. Perego,et al.  Fabrication of GeO2 layers using a divalent Ge precursor , 2007 .

[12]  K. Kukli,et al.  PROPERTIES OF ATOMIC LAYER DEPOSITED (TA1-XNBX)2O5 SOLID SOLUTION FILMS AND TA2O5-NB2O5 NANOLAMINATES , 1999 .

[13]  C. Wolden,et al.  Self-limiting growth of anatase TiO2: A comparison of two deposition techniques , 2010 .

[14]  W. Paszkowicz,et al.  Extremely low temperature growth of ZnO by atomic layer deposition , 2008 .

[15]  W. Desisto,et al.  Synthesis and Characterization of Silica Membranes Prepared by Pyridine-Catalyzed Atomic Layer Deposition , 2004 .

[16]  J. R. Creighton,et al.  The surface chemistry and kinetics of GaAs atomic layer epitaxy , 1993 .

[17]  E. Djurado,et al.  ESD and ALD Depositions of Ta2O5 Thin Films Investigated as Barriers to Copper Diffusion for Advanced Metallization , 2009 .

[18]  Downscaling of defect-passivated Gd2O3 thin films on p-Si(0 0 1) wafers grown by H2O-assisted atomic layer deposition , 2010 .

[19]  M. Perego,et al.  Atomic Layer Deposition of Magnetic Thin Films , 2007 .

[20]  J. Aarik,et al.  Atomic layer deposition of Cr2O3 thin films: Effect of crystallization on growth and properties , 2008 .

[21]  Daniel D. Koleske,et al.  Atomic layer epitaxy of Si on Ge(100) using Si2Cl6 and atomic hydrogen , 1994 .

[22]  N. Kwak,et al.  Atomic Layer Deposition of Low-Resistivity and High-Density Tungsten Nitride Thin Films Using B2H6, WF6, and NH3 , 2006 .

[23]  P. McIntyre,et al.  Electrical properties of germanium/metal-oxide gate stacks with atomic layer deposition grown hafnium-dioxide and plasma-synthesized interface layers , 2007 .

[24]  C. Jin,et al.  Structure and photoluminescence properties of ZnS nanowires sheathed with SnO2 by atomic layer deposition , 2010 .

[25]  M. Kautzky,et al.  Atomic Layer Deposition Al$_{2}$O $_{3}$ Films for Permanent Magnet Isolation in TMR Read Heads , 2008, IEEE Transactions on Magnetics.

[26]  D. Gilmer,et al.  Hafnium silicon oxide films prepared by atomic layer deposition , 2004 .

[27]  Jeong Hwan Kim,et al.  Influence of the oxygen concentration of atomic-layer-deposited HfO2 gate dielectric films on the electron mobility of polycrystalline-Si gate transistors , 2006 .

[28]  M. Heyns,et al.  Nucleation and Growth Behavior of Atomic Layer Deposited HfO2 Films on Silicon Oxide Starting Surfaces , 2006 .

[29]  Miin-Jang Chen,et al.  Enhancement of photoluminescence intensity from Si nanodots using Al2O3 surface passivation layer grown by atomic layer deposition , 2010 .

[30]  D. Mitchell,et al.  Transmission electron microscopy studies of HfO2 thin films grown by chloride-based atomic layer deposition , 2006 .

[31]  C. Hwang,et al.  The Role of the Methyl and Hydroxyl Groups of Low-k Dielectric Films on the Nucleation of Ruthenium by ALD , 2008 .

[32]  T. Sajavaara,et al.  Surface-controlled growth of LaAlO3 thin films by atomic layer epitaxy , 2001 .

[33]  Gwo-Ching Wang,et al.  Atomic Layer Deposition of Pd on an Oxidized Metal Substrate , 2006 .

[34]  D. Mitchell,et al.  Transmission electron microscopy studies of atomic layer deposition TiO2 films grown on silicon , 2003 .

[35]  K. Kukli,et al.  Deposition of lanthanum sulfide thin films by atomic layer epitaxy , 1998 .

[36]  M. Ritala,et al.  Atomic layer epitaxy growth of titanium dioxide thin films from titanium ethoxide , 1994 .

[37]  W. Maeng,et al.  Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode , 2008 .

[38]  Jaegab Lee,et al.  Effects of defects generated in ALD TiO2 films on electrical properties and interfacial reaction in TiO2/SiO2/Si system upon annealing in vacuum , 2008 .

[39]  S. Yun,et al.  Optical AlxTi1-xOy Films Grown by Plasma Enhanced Atomic Layer Deposition , 2008 .

[40]  Study of thin hafnium oxides deposited by atomic layer deposition , 2004 .

[41]  Theodosia Gougousi,et al.  Nucleation of HfO2 atomic layer deposition films on chemical oxide and H-terminated Si , 2007 .

[42]  M. Ritala,et al.  Atomic force microscopy study of titanium dioxide thin films grown by atomic layer epitaxy , 1993 .

[43]  Y. J. Kim,et al.  Preparation of TiN films by plasma assisted atomic layer deposition for copper metallization , 2004 .

[44]  Optical and electrical characterization of defects in zinc oxide thin films grown by atomic layer deposition , 2009 .

[45]  B. Lee,et al.  Rapid vapor-phase fabrication of organic-inorganic hybrid superlattices with monolayer precision. , 2007, Journal of the American Chemical Society.

[46]  Ian M. Povey,et al.  Infrared and near-infrared spectroscopic probing of atomic layer deposition processes , 2010 .

[47]  J. Elam,et al.  Palladium Catalysts Synthesized by Atomic Layer Deposition for Methanol Decomposition , 2010 .

[48]  S. Son,et al.  An evaluation of thermal stability of TiB2 metal gate on Hf silicate for p-channel metal oxide semiconductor application , 2008 .

[49]  M. Shiojiri,et al.  Structure and stimulated emission of a high-quality zinc oxide epilayer grown by atomic layer deposition on the sapphire substrate , 2010 .

[50]  I. Tittonen,et al.  Atomic layer deposited alumina (Al2O3) thin films on a high-Q mechanical silicon oscillator , 2007 .

[51]  J. Aarik,et al.  Epitaxial growth of high-κ TiO[sub 2] rutile films on RuO[sub 2] electrodes , 2009 .

[52]  Wmm Erwin Kessels,et al.  Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3 , 2006 .

[53]  Hyoun-woo Kim,et al.  Enhancement of ZnO nucleation in ZnO epitaxy by atomic layer epitaxy , 2005 .

[54]  Wolfgang Kowalsky,et al.  Indium-free transparent organic light emitting diodes with Al doped ZnO electrodes grown by atomic layer and pulsed laser deposition , 2008 .

[55]  Sang-Woo Kang,et al.  Growth of Cu Metal Films at Room Temperature Using Catalyzed Reactions , 2010 .

[56]  Qi Xie,et al.  Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition , 2008 .

[57]  H. Yokoyama,et al.  Atomic layer epitaxy of AlAs using thermally decomposed gas molecules , 1992 .

[58]  A. Koukitu,et al.  Atomic layer epitaxy of GaAs using GaBr and GaI sources , 1997 .

[59]  James G. Mitchell,et al.  Controlled pore structure modification of diatoms by atomic layer deposition of TiO2 , 2006 .

[60]  G. Tallarida,et al.  Effects of the oxygen precursor on the electrical and structural properties of HfO2 films grown by atomic layer deposition on Ge , 2005 .

[61]  Byoung-Chul Shin,et al.  GROWTH TEMPERATURE DEPENDENCE OF Ga2O3 THIN FILMS DEPOSITED BY PLASMA ENHANCED ATOMIC LAYER DEPOSITION , 2007 .

[62]  Makoto Konagai,et al.  Zinc-based buffer layer in the Cu(InGa)Se2 thin film solar cells , 2000 .

[63]  C. Hwang,et al.  Structurally and Electrically Uniform Deposition of High-k TiO2 Thin Films on a Ru Electrode in Three-Dimensional Contact Holes Using Atomic Layer Deposition , 2005 .

[64]  B. Sperling,et al.  Time-resolved Fourier transform infrared spectroscopy of the gas phase during atomic layer deposition , 2010 .

[65]  A. Furuya,et al.  Etch-Byproduct Pore Sealing for Atomic-Layer-Deposited-TaN Deposition on Porous Low-k Film , 2005 .

[66]  Y. Lamy,et al.  Cubic phase stabilization and improved dielectric properties of atomic-layer-deposited Er_yHf_1-yO_x thin films , 2010 .

[67]  H. Fjellvåg,et al.  Deposition of LaNiO3 thin films in an atomic layer epitaxy reactor , 1997 .

[68]  Mikko Ritala,et al.  Selection of post-growth treatment parameters for atomic layer deposition of structurally disordered TiO2 thin films , 2008 .

[69]  S. Bent,et al.  Area Selective Atomic Layer Deposition by Microcontact Printing with a Water-Soluble Polymer , 2010 .

[70]  Piero Pianetta,et al.  Hafnium oxide/germanium oxynitride gate stacks on germanium: Capacitance scaling and interface state density , 2009 .

[71]  E. Eisenbraun,et al.  Atomic layer deposition of tantalum nitride for ultrathin liner applications in advanced copper metallization schemes , 2004 .

[72]  S. George Atomic layer deposition: an overview. , 2010, Chemical reviews.

[73]  K. Kukli,et al.  Atomic layer deposition rate, phase composition and performance of HfO2 films on noble metal and alkoxylated silicon substrates , 2005 .

[74]  H. Munekata,et al.  Atomic layer epitaxy of AlAs and (AlAs)n(GaAs)n superlattices with a new aluminum source ethyldimethylamine alane , 1997 .

[75]  M. Yokoyama,et al.  ALE growth and optical characterization of ZnSe/ZnS strained quantum well structures on Si substrate , 2001 .

[76]  Yong Ju Lee,et al.  Growth of aluminum nitride thin films prepared by plasma-enhanced atomic layer deposition , 2004 .

[77]  M. Ritala,et al.  Atomic layer deposition of metal tellurides and selenides using alkylsilyl compounds of tellurium and selenium. , 2009, Journal of the American Chemical Society.

[78]  M. Ritala,et al.  Atomic layer deposition of ruthenium thin films from Ru(thd)(3) and oxygen , 2004 .

[79]  J. Hupp,et al.  Atomic layer deposition of tin oxide films using tetrakis(dimethylamino) tin , 2008 .

[80]  Stephen Taylor,et al.  Deposition of Pr- and Nd-aluminate by Liquid Injection MOCVD and ALD Using Single-Source Heterometallic Alkoxide Precursors , 2007 .

[81]  D. A. Slater,et al.  Low-temperature, chemically driven atomic-layer epitaxy: In situ monitored growth of CdS/ZnSe(100) , 1997 .

[82]  K. Asai,et al.  Novel Contact-Plug Process with Low-Resistance Nucleation Layer Using Diborane-Reduction Tungsten Atomic-Layer-Deposition Method for 32 nm Complementary Metal–Oxide–Semiconductor Devices and Beyond , 2008 .

[83]  W. Kessels,et al.  Local deposition of high-purity Pt nanostructures by combining electron beam induced deposition and atomic layer deposition , 2010 .

[84]  M. Leskelä,et al.  Precursor properties of calcium β-diketonate in vapor phase atomic layer epitaxy , 1994 .

[85]  N. Yoshii,et al.  Atmospheric pressure atomic layer epitaxy of ZnO on a sapphire (0001) substrate by alternate reaction of ZnCl2 and O2 , 2000 .

[86]  A. Nakajima,et al.  Growth and electrical properties of atomic-layer deposited ZrO2 /Si-nitride stack gate dielectrics , 2004 .

[87]  J. Aizenberg,et al.  Low-temperature synthesis of nanoscale silica multilayers – atomic layer deposition in a test tube , 2010 .

[88]  S. Grunow,et al.  Comparison of Electromigration in Cu Interconnects with Atomic-Layer- or Physical-Vapor-Deposited TaN Liners , 2007 .

[89]  H. Chang Effects of initial growth mode on the electrical properties of atomic-layer-deposited Hfo2 films , 2009 .

[90]  K. Lee,et al.  Photocatalytic Decomposition of Toluene Vapor by Bare and TiO2-coated Carbon Fibers , 2010 .

[91]  M. Ritala,et al.  Development of crystallinity and morphology in hafnium dioxide thin films grown by atomic layer epitaxy , 1994 .

[92]  K. Chung,et al.  Growth Kinetics of Atomic Layer Deposited Hf Silicate-Like Films using Hf [ N ( CH3 ) ( C2H5 ) ] 4 and SiH [ N ( CH3 ) 2 ] 3 Precursors via an H2O Oxidant , 2007 .

[93]  H. Hwang,et al.  Electrical and physical properties of HfO2 deposited via ALD using Hf(OtBu)4 and ozone atop Al2O3 , 2004 .

[94]  Elena Cianci,et al.  Resistance switching in amorphous and crystalline binary oxides grown by electron beam evaporation and atomic layer deposition , 2008 .

[95]  Martin M. Frank,et al.  Hafnium oxide gate dielectrics on sulfur-passivated germanium , 2006 .

[96]  Joop Schoonman,et al.  Solar‐Energy Conversion in TiO2/CuInS2 Nanocomposites , 2005 .

[97]  D. Farmer,et al.  Atomic layer deposition of gadolinium scandate films with high dielectric constant and low leakage current , 2006 .

[98]  J. Vlassak,et al.  Vapor Deposition of Ruthenium from an Amidinate Precursor , 2007 .

[99]  H. Fjellvåg,et al.  Effect of α-Fe2O3 surface coating on reconstruction of platinum–rhodium catalysts during oxidation of ammonia , 2005 .

[100]  R. Garvie,et al.  Stabilization of the tetragonal structure in zirconia microcrystals , 1978 .

[101]  M. Leskelä,et al.  In Situ Mass Spectrometry Study on Surface Reactions in Atomic Layer Deposition of Al2O3 Thin Films from Trimethylaluminum and Water , 2000 .

[102]  S. Haukka,et al.  Processing of catalysts by atomic layer epitaxy: modification of supports , 1997 .

[103]  S. Bent,et al.  Influence of organozinc ligand design on growth and material properties of ZnS and ZnO deposited by atomic layer deposition , 2011 .

[104]  M. Ritala,et al.  Characterization of titanium dioxide atomic layer growth from titanium ethoxide and water , 2000 .

[105]  Yong Ju Lee,et al.  Study on the characteristics of alumdinum thin films prepared by atomic layer deposition , 2002 .

[106]  Virginia R. Anderson,et al.  Molybdenum Atomic Layer Deposition Using MoF6 and Si2H6 as the Reactants , 2011 .

[107]  R. To¨rnqvist,et al.  On the aging of ZnS:Mn electroluminescent thin films grown by the atomic layer epitaxy technique , 1982 .

[108]  M. Leskelä,et al.  The effect of growth parameters on the deposition of CaS thin films by atomic layer epitaxy , 1994 .

[109]  Qi Xie,et al.  Atomic layer deposition of titanium nitride from TDMAT precursor , 2009 .

[110]  Mikko Ritala,et al.  Atomic layer deposition of high capacitance density Ta2O5-ZrO2 based dielectrics for metal-insulator-metal structures , 2010 .

[111]  M. Leskelä,et al.  Emanation thermal analysis in the characterization of zinc sulfide thin films prepared from different precursors , 1994 .

[112]  Sang‐Hyun Oh,et al.  Atomic layer deposition: A versatile technique for plasmonics and nanobiotechnology , 2012 .

[113]  Y. Chabal,et al.  Ion backscattering study of ultra-thin oxides: Al2O3 and AlHfOx films on Si , 2005 .

[114]  A. Krause,et al.  Growth of aluminium nitride on porous silica by atomic layer chemical vapour deposition , 2000 .

[115]  H. Fjellvåg,et al.  Growth of iron cobalt oxides by atomic layer deposition. , 2008, Dalton transactions.

[116]  Y. C. Lee,et al.  GaN nanowire functionalized with atomic layer deposition techniques for enhanced immobilization of biomolecules. , 2010, Langmuir.

[117]  Kyoung-Il Na,et al.  Thermal and Electrical Properties of 5-nm-Thick TaN Film Prepared by Atomic Layer Deposition Using a Pentakis(ethylmethylamino)tantalum Precursor for Copper Metallization , 2006 .

[118]  H. Fjellvåg,et al.  Simulation of growth dynamics in atomic layer deposition. Part I. Amorphous films , 2007 .

[119]  S. George,et al.  Nucleation and growth of tantalum nitride atomic layer deposition on Al2O3 using TBTDET and hydrogen radicals , 2009 .

[120]  Ronald S. Goeke,et al.  Growth, structure, and tribological behavior of atomic layer-deposited tungsten disulphide solid lubricant coatings with applications to MEMS , 2006 .

[121]  George C Schatz,et al.  Localized surface plasmon resonance nanosensor: a high-resolution distance-dependence study using atomic layer deposition. , 2005, The journal of physical chemistry. B.

[122]  M. Ozeki,et al.  In situ x-ray photoelectron spectroscopic study of GaAs grown by atomic layer epitaxy , 1989 .

[123]  M. Dugger,et al.  Atomic layer deposition of tungsten disulphide solid lubricant thin films , 2004 .

[124]  Y. Suda,et al.  Thermal and photostimulated reactions on Si2H6‐adsorbed Si(100)2×1 surfaces: Mechanisms of Si film growth by atomic‐layer epitaxy , 1989 .

[125]  T. Tachibana,et al.  Atomic layer deposition of Al2O3 thin films on diamond , 2005 .

[126]  M. Tammenmaa,et al.  Comparison of ZnS thin films grown by atomic layer epitaxy from zinc acetate and zinc chloride: An X-ray diffraction and spectroscopic ellipsometric study , 1988 .

[127]  J. Sadowski,et al.  Reflection mass spectrometry studies on UHV ALE of Cd 1- x Zn x Te (0= x=1) compounds , 1997 .

[128]  S. Gopinath,et al.  Atomic Layer Deposition of AlO x for Thin Film Head Gap Applications , 2001 .

[129]  James R Engstrom,et al.  Effects of interfacial organic layers on thin film nucleation in atomic layer deposition , 2006 .

[130]  Jeong Hwan Kim,et al.  Improved electrical performances of plasma-enhanced atomic layer deposited TaCxNy films by adopting Ar/H2 plasma , 2007 .

[131]  Y. Masumoto,et al.  Self-organized quantum dots of zinc-blende MnTe grown by molecular beam epitaxy , 1998 .

[132]  M. Ozeki,et al.  Initial stages of GaAs and AlAs growth on Si substrates: Atomic‐layer epitaxy , 1989 .

[133]  Hcm Harm Knoops,et al.  Conformality of Plasma-Assisted ALD: Physical Processes and Modeling , 2010 .

[134]  Jong-Wan Park,et al.  Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films , 2008 .

[135]  A. Hårsta,et al.  Microstructure characterisation of ALD-grown epitaxial SnO2 thin films , 2004 .

[136]  Jaan Aarik,et al.  Properties of tantalum oxide thin films grown by atomic layer deposition , 1995 .

[137]  M. Ree,et al.  Synchrotron X-ray reflectivity study of high dielectric constant alumina thin films prepared by atomic layer deposition , 2006 .

[138]  S. Kamiyama,et al.  Electrical properties of ultrathin HfO2 films for replacement metal gate transistors, fabricated by atomic layer deposition using Hf(N(CH3)(C2H5))4 and O3 , 2005 .

[139]  Daniel D. Koleske,et al.  Epitaxial Si films on Ge(100) grown via H/Cl exchange , 1993 .

[140]  U. Gösele,et al.  Preparation and elastic properties of helical nanotubes obtained by atomic layer deposition with carbon nanocoils as templates. , 2010, Small.

[141]  D. Gin,et al.  Modification of Nanoporous Supported Lyotropic Liquid Crystal Polymer Membranes by Atomic Layer Deposition , 2010 .

[142]  Norbert Kaiser,et al.  Atomic layer deposition process with TiF4 as a precursor for depositing metal fluoride thin films. , 2008, Applied optics.

[143]  Thomas W. Hamann,et al.  Aerogel Templated ZnO Dye‐Sensitized Solar Cells , 2008 .

[144]  S. Ferrari,et al.  Combining grazing incidence X-ray diffraction and X-ray reflectivity for the evaluation of the structural evolution of HfO2 thin films with annealing , 2004 .

[145]  Jong-Wan Park,et al.  Characteristics of high-k dielectric ECR-ALD lanthanum hafnium oxide (LHO) films , 2009 .

[146]  Sumit Agarwal,et al.  Surface reaction mechanisms during ozone and oxygen plasma assisted atomic layer deposition of aluminum oxide. , 2010, Langmuir : the ACS journal of surfaces and colloids.

[147]  U. Gösele,et al.  A novel approach for fabrication of bismuth-silicon dioxide core-shell structures by atomic layer deposition , 2009 .

[148]  M. Yokoyama,et al.  Growth and characterization of ZnSe on Si by atomic layer epitaxy , 2000 .

[149]  M. Sakuraba,et al.  Silicon atomic layer growth controlled by flash heating in chemical vapor deposition using SiH4 gas , 1993 .

[150]  Mikko Ritala,et al.  Controlled growth of HfO2 thin films by atomic layer deposition from cyclopentadienyl-type precursor and water , 2005 .

[151]  Chi-Sun Hwang,et al.  Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition , 2006 .

[152]  Zhaoming Zhang,et al.  Amorphous to anatase transformation in atomic layer deposited titania thin films induced by hydrothermal treatment at 120 °C , 2008 .

[153]  Dirk Poelman,et al.  Microencapsulation of Moisture-Sensitive CaS : Eu2 + Particles with Aluminum Oxide , 2009 .

[154]  K. Saraswat,et al.  High temperature phase transformation of tantalum nitride films deposited by plasma enhanced atomic layer deposition for gate electrode applications , 2007 .

[155]  Y. Kim,et al.  A new atomic layer deposition of W–N thin films , 2004 .

[156]  U. Gösele,et al.  Titania nanostructures fabricated by atomic layer deposition using spherical protein cages. , 2009, Langmuir : the ACS journal of surfaces and colloids.

[157]  O. Nilsen,et al.  Structure determination of MnO2 films grown on single crystal α-Al2O3 substrates , 2005 .

[158]  M. Sung,et al.  Atomic Layer Deposition of TiO 2 Thin Films from Ti(O i Pr) 2 (dmae) 2 and H 2 O , 2004 .

[159]  H. Sitter,et al.  Growth and characterization of CdTe-ZnTe short-period superlattices , 1993 .

[160]  M. Suvanto,et al.  Molybdenum nitride nanotubes , 2008 .

[161]  E. Guziewicz,et al.  ZnO layers grown by Atomic Layer Deposition: A new material for transparent conductive oxide , 2009 .

[162]  Jaime A. Freitas,et al.  Recrystallization prospects for freestanding low temperature GaN grown using ZnO buffer layers , 2002 .

[163]  H. Bender,et al.  Materials characterization of WNxCy, WNx and WCx films for advanced barriers , 2007 .

[164]  M. Pessa,et al.  Atomic layer epitaxy and characterization of CdTe films grown on CdTe (110) substrates , 1983 .

[165]  S. Shih,et al.  Structural properties of Al2O3 dielectrics grown on TiN metal substrates by atomic layer deposition , 2009 .

[166]  H. Fjellvåg,et al.  Electrical properties of Al2O3∕4H‐SiC structures grown by atomic layer chemical vapor deposition , 2007 .

[167]  T Mizutani,et al.  Change in carrier type in high-k gate carbon nanotube field-effect transistors by interface fixed charges , 2010, Nanotechnology.

[168]  Y. Aoyagi,et al.  Self-limiting growth on the β-SiC(001) surface , 1992 .

[169]  S. Kim,et al.  Peptide-templating dye-sensitized solar cells , 2010, Nanotechnology.

[170]  M. Heyns,et al.  Characterization of ALCVD-Al2O3 and ZrO2 layer using X-ray photoelectron spectroscopy , 2002 .

[171]  Wonjun Lee,et al.  Atomic Layer Deposition and Properties of Silicon Oxide Thin Films Using Alternating Exposures to SiH2Cl2 and O3 , 2010 .

[172]  K. Endo,et al.  Investigation of Thermal Stability of TiN Film Formed by Atomic Layer Deposition Using Tetrakis(dimethylamino)titanium Precursor for Metal-Gate Metal–Oxide–Semiconductor Field-Effect Transistor , 2010 .

[173]  S. Lee,et al.  Reduction of Incubation Period by Employing OH-Terminated Si(001) Substrates in the Atomic Layer Deposition of Al2O3 , 2004 .

[174]  Tae-Sik Yoon,et al.  Nucleation kinetics of Ru on silicon oxide and silicon nitride surfaces deposited by atomic layer deposition , 2008 .

[175]  K. Nishi,et al.  In situ optical characterization of GaAs and InP surfaces during chloride atomic layer epitaxy , 1993 .

[176]  Zhong Lin Wang,et al.  Bio-inspired fabrication of antireflection nanostructures by replicating fly eyes , 2008, Nanotechnology.

[177]  M. Ritala,et al.  Enhanced Growth Rate in Atomic Layer Epitaxy of Indium Oxide and Indium‐Tin Oxide Thin Films , 1999 .

[178]  Mikko Ritala,et al.  Novel materials by atomic layer deposition and molecular layer deposition , 2011 .

[179]  Martin M. Frank,et al.  Absence of magnetism in hafnium oxide films , 2005 .

[180]  S. George,et al.  Conformal nanocoating of zirconia nanoparticles by atomic layer deposition in a fluidized bed reactor , 2005, Nanotechnology.

[181]  M. Ritala,et al.  Reaction Mechanism Studies on Atomic Layer Deposition of Ruthenium and Platinum , 2003 .

[182]  Kai Arstila,et al.  Atomic layer deposition of Ga2O3 films from a dialkylamido-based precursor , 2006 .

[183]  Nitrogen incorporation engineering and electrical properties of high-k gate dielectric (HfO2 and Al2O3) films on Si (100) substrate , 2004 .

[184]  K. Emtsev,et al.  ALD Deposited Al2 O3 Films on 6H-SiC(0001) after Annealing in Hydrogen Atmosphere , 2005 .

[185]  H. Fjellvåg,et al.  Growth of calcium carbonate by the atomic layer chemical vapour deposition technique , 2004 .

[186]  Leena‐Sisko Johansson,et al.  Studies of metallic thin film growth in an atomic layer epitaxy reactor using M(acac)2 (M = Ni, cu, Pt) precursors , 2000 .

[187]  Jane P. Chang,et al.  Dielectric property and thermal stability of HfO2 on silicon , 2002 .

[188]  R. Davis,et al.  Layer-by-layer growth of SiC at low temperatures , 1993 .

[189]  K. Kukli,et al.  Influence of atomic layer deposition parameters on the phase content of Ta2O5 films , 2000 .

[190]  Wilfried Vandervorst,et al.  Island growth as a growth mode in atomic layer deposition: A phenomenological model , 2004 .

[191]  M. Perego,et al.  Energy band alignment of HfO2 on Ge , 2006 .

[192]  S. Moon,et al.  Atomic Layer Deposition of HfO2 onto Si Using Hf(NMe2)4 , 2009 .

[193]  Jaan Aarik,et al.  Control of thin film structure by reactant pressure in atomic layer deposition of TiO2 , 1996 .

[194]  Eric M. Gaigneaux,et al.  Scientific Bases for the Preparation of Heterogeneous Catalysts , 2002 .

[195]  T. Sajavaara,et al.  Surface-Controlled Deposition of Sc2O3 Thin Films by Atomic Layer Epitaxy Using β-Diketonate and Organometallic Precursors , 2001 .

[196]  J. Elam,et al.  GaPO4 sensors for gravimetric monitoring during atomic layer deposition at high temperatures. , 2005, Analytical chemistry.

[197]  T. Aaltonen,et al.  Atomic layer deposition of lithium containing thin films , 2009 .

[198]  J. Zhao,et al.  Stability of Silver Nanoparticles Fabricated by Nanosphere Lithography and Atomic Layer Deposition to Femtosecond Laser Excitation , 2008 .

[199]  M. Pessa,et al.  Epitaxial growth and electronic structure of CdTe films , 1984 .

[200]  Lijun Wu,et al.  Hydrothermal preparation of nanosized cubic ZrO2 powders , 1996 .

[201]  M. Karppinen,et al.  Growth of conductive copper sulfide thin films by atomic layer deposition , 2002 .

[202]  S. George,et al.  Alumina atomic layer deposition nanocoatings on primary diamond particles using a fluidized bed reactor , 2008 .

[203]  Y. Suda,et al.  Sub-atomic-layer epitaxy of Si using Si2H6 , 1994 .

[204]  Joseph G. Shapter,et al.  Structural and chemical modification of porous alumina membranes , 2009 .

[205]  S. George,et al.  SiO2 film growth at low temperatures by catalyzed atomic layer deposition in a viscous flow reactor , 2005 .

[206]  Cheol Seong Hwang,et al.  Al‐Doped TiO2 Films with Ultralow Leakage Currents for Next Generation DRAM Capacitors , 2008 .

[207]  M. Ritala,et al.  Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition , 2005 .

[208]  T. Tuomi,et al.  Comparative study of the crystal phase, crystallite size and microstrain in electroluminescent ZnS:Mn films grown by atomic layer epitaxy and electron beam evaporation , 1983 .

[209]  D. Schmeißer,et al.  In situ studies of the atomic layer deposition of thin HfO2 dielectrics by ultra high vacuum atomic force microscope , 2010 .

[210]  Y. Sawada,et al.  Atomic-layer epitaxy control of Ge and Si in flash-heating CVD using GeH4 and SiH4 gases , 1994 .

[211]  S. Rhee,et al.  Phase Formation in the Tantalum Carbonitride Film Deposited with Atomic Layer Deposition Using Ammonia , 2008 .

[212]  K. Maeda,et al.  A comparative study of the growth mechanism of InAs/GaAs and GaP/GaAs heterostructures and strained layered superlattices by atomic layer epitaxy , 2005 .

[213]  G. Bersuker,et al.  Nucleation and growth study of atomic layer deposited HfO 2 gate dielectrics resulting in improved scaling and electron mobility , 2006 .

[214]  E. Guziewicz,et al.  Magnetic, Structural, and Optical Properties of Low Temperature ZnMnO Grown by Atomic Layer Epitaxy , 2005 .

[215]  T. Bramblett,et al.  Mechanisms and kinetics of Si atomic‐layer epitaxy on Si(001)2×1 from Si2H6 , 1991 .

[216]  O. Nilsen,et al.  High-Temperature Oxidation of Ni Coated with La2O3 by Atomic-Layer Chemical-Vapor Deposition (ALCVD) , 2003 .

[217]  S. Yeom,et al.  Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO)2 Precursor , 2007 .

[218]  T. Nakamura,et al.  Preparation of HfO2 nano-films by atomic layer deposition using HfCl4 and O2 under atmospheric pressure , 2004 .

[219]  Łukasz Wachnicki,et al.  Characterization of ZnO Films Grown at Low Temperature , 2008 .

[220]  D. Lincot,et al.  Growth studies and characterisation of In2S3 thin films deposited by atomic layer deposition (ALD) , 2004 .

[221]  Daniel Lincot,et al.  Study of atomic layer epitaxy of zinc oxide by in-situ quartz crystal microgravimetry , 2000 .

[222]  J. Kwon,et al.  Comparison of Tantalum Nitride Films for Different NH3/H2/Ar Reactant States in Two-Step Atomic Layer Deposition , 2009 .

[223]  D. Gilmer,et al.  Evaluation of a Praseodymium Precursor for Atomic Layer Deposition of Oxide Dielectric Films , 2004 .

[224]  M. Ritala,et al.  Novel ALD process for depositing CaF2 thin films , 2007 .

[225]  E Erik Langereis,et al.  Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers , 2006 .

[226]  H. Sitter,et al.  Atomic-layer epitaxy of (100) CdTe on GaAs substrates , 1990 .

[227]  R. Solanki,et al.  Characteristics of copper films produced via atomic layer deposition , 2002 .

[228]  David A. Muller,et al.  HfO2 and Al2O3 gate dielectrics on GaAs grown by atomic layer deposition , 2005 .

[229]  G. Parsons,et al.  Berreman effect in infrared absorption spectroscopy of ionic oxide coatings formed by atomic layer deposition on three-dimensional structures , 2008 .

[230]  Y. Kumagai,et al.  In situ gravimetric monitoring of halogen transport atomic layer epitaxy of cubic-GaN , 2000 .

[231]  M. Ritala,et al.  Bismuth precursors for atomic layer deposition of bismuth-containing oxide films , 2004 .

[232]  Peide D. Ye,et al.  Leakage current and breakdown electric-field studies on ultrathin atomic-layer-deposited Al2O3 on GaAs , 2005 .

[233]  Steven M. George,et al.  Atomic layer controlled deposition of SiO2 and Al2O3 using ABAB… binary reaction sequence chemistry , 1994 .

[234]  E. Cartier,et al.  Ultrathin high-K metal oxides on silicon: processing, characterization and integration issues , 2001 .

[235]  Roy G. Gordon,et al.  Rapid Vapor Deposition of Highly Conformal Silica Nanolaminates , 2002, Science.

[236]  Mikko Ritala,et al.  Atomic Layer Deposition of Photocatalytic TiO2 Thin Films from Titanium Tetramethoxide and Water , 2004 .

[237]  H. Osten,et al.  Growth of crystalline Gd2O3 thin films with a high-quality interface on Si(100) by low-temperature H2O-assisted atomic layer deposition. , 2010, Journal of the American Chemical Society.

[238]  S. Rushworth,et al.  Atomic Layer Deposition of Hafnium Silicate Thin Films Using Tetrakis(diethylamido)hafnium and Tris(2-methyl-2-butoxy)silanol , 2009 .

[239]  S. Haukka,et al.  Chemisorption of chromium acetylacetonate on porous high surface area silica , 1994 .

[240]  K. Chung,et al.  Characteristics of HfO2–Al2O3 laminate films containing incorporated N as a function of stack structure and annealing temperature , 2005 .

[241]  M. Ritala,et al.  Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films , 2005 .

[242]  M. Ritala,et al.  Radical-enhanced atomic layer deposition of silver thin films using phosphine-adducted silver carboxylates , 2007 .

[243]  J. Carlsson,et al.  Atomic layer deposition of BN thin films , 2002 .

[244]  D. A. Slater,et al.  Studies of heteroepitaxial growth of thin II–VI semiconductor layers by sequential ultrahigh vacuum dosing , 2000 .

[245]  S. Ghandhi,et al.  Low temperature epitaxy of HgTe, CdTe, and HgCdTe using flow modulation techniques , 1992 .

[246]  M. Caymax,et al.  HfO2 Atomic Layer Deposition Using HfCl4 ∕ H2O : The First Reaction Cycle , 2008 .

[247]  S. Rhee,et al.  Atomic layer deposition of zirconium silicate films using zirconium tetra-tert-butoxide and silicon tetrachloride , 2003 .

[248]  H. Makino,et al.  Influence of substrate temperature and Zn-precursors on atomic layer deposition of polycrystalline ZnO films on glass , 2009 .

[249]  M. J. Kim,et al.  A novel thermally-stable zirconium amidinate ALD precursor for ZrO2 thin films , 2009 .

[250]  D. V. Pavlov,et al.  Atomic layer deposition of multilayer ZnTe/CdTe nanostructures , 2007 .

[251]  Carl P. Tripp,et al.  Template‐Assisted Fabrication of Dense, Aligned Arrays of Titania Nanotubes with Well‐Controlled Dimensions on Substrates , 2004 .

[252]  N. Huby,et al.  Vertically stacked non-volatile memory devices - material considerations , 2008 .

[253]  U. Gösele,et al.  TiO2 microstructures by inversion of macroporous silicon using atomic layer deposition , 2008 .

[254]  Helen Grampeix,et al.  Resistance switching in HfO2 metal-insulator-metal devices , 2010 .

[255]  S. George,et al.  Rapid SiO2 Atomic Layer Deposition Using Tris(tert-pentoxy)silanol , 2008 .

[256]  M. Leskelä,et al.  Zinc chalcogenide thin films grown by the atomic layer epitaxy technique using zinc acetate as source material , 1985 .

[257]  Kwang Ho Kim,et al.  Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric , 2009 .

[258]  J. Elam,et al.  Atomic layer deposition of palladium films on Al2O3 surfaces , 2006 .

[259]  S. Bedair,et al.  Atomic layer epitaxy of planar‐doped structures for nonalloyed contacts and field‐effect transistor , 1990 .

[260]  Johann W. Bartha,et al.  Temperature dependence of the sticking coefficient in atomic layer deposition , 2010 .

[261]  K. Kukli,et al.  Atomic layer deposition and chemical vapor deposition of tantalum oxide by successive and simultaneous pulsing of tantalum ethoxide and tantalum chloride , 2000 .

[262]  Wilhelmus M. M. Kessels,et al.  Advanced process technologies: Plasma, direct-write, atmospheric pressure, and roll-to-roll ALD , 2011 .

[263]  T. Yao,et al.  Photoluminescence properties of ZnSe single crystalline films grown by atomic layer epitaxy , 1986 .

[264]  M. Ritala,et al.  Evaluation of New Aminoalkoxide Precursors for Atomic Layer Deposition. Growth of Zirconium Dioxide Thin Films and Reaction Mechanism Studies , 2004 .

[265]  C. Hsu The properties of ZnSc layers grown on GaAs and Si substrates by atomic layer epitaxy , 1998 .

[266]  Seong-Jun Jeong,et al.  Improvement of the Morphological Stability by Stacking RuO2 on Ru Thin Films with Atomic Layer Deposition , 2007 .

[267]  O. Richard,et al.  Atomic layer deposition of hafnium oxide on germanium substrates , 2005 .

[268]  S. George,et al.  SnO2 atomic layer deposition on ZrO2 and Al nanoparticles: Pathway to enhanced thermite materials , 2005 .

[269]  C. Hsu,et al.  Hydrous-Plasma Treatment of Pt Electrodes for Atomic Layer Deposition of Ultrathin High-k Oxide Films , 2007 .

[270]  S. George,et al.  Analysis of Al2O3 Atomic Layer Deposition on ZrO2 Nanoparticles in a Rotary Reactor , 2007 .

[271]  Y. Chabal,et al.  Atomic Layer Deposition of Ru/RuO2 Thin Films Studied by In situ Infrared Spectroscopy , 2010 .

[272]  K. Kukli,et al.  Atomic layer deposition of hafnium dioxide thin films from hafnium tetrakis(dimethylamide) and water , 2005 .

[273]  Riikka L. Puurunen,et al.  Analysis of hydroxyl group controlled atomic layer deposition of hafnium dioxide from hafnium tetrachloride and water , 2004 .

[274]  Han-Bo-Ram Lee,et al.  Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition , 2010 .

[275]  A. Teplyakov,et al.  Chemistry of Diffusion Barrier Film Formation: Adsorption and Dissociation of Tetrakis(dimethylamino)titanium on Si(100)-2 × 1 , 2007 .

[276]  M. Godlewski,et al.  Monocrystalline ZnS-sphalerite films grown by atomic layer epitaxy in a gas flow system , 1998 .

[277]  M. Ozeki,et al.  New approach to the atomic layer epitaxy of GaAs using a fast gas stream , 1988 .

[278]  F. G. McIntosh,et al.  Epitaxial deposition of GaInN and InN using the rotating susceptor ALE system , 1997 .

[279]  K. Yong,et al.  Electrical Characterization of Ti–Silicate Films Grown by Atomic Layer Chemical Vapor Deposition , 2007 .

[280]  Jane P. Chang,et al.  Radical-enhanced atomic layer deposition of Y2O3 via a β-diketonate precursor and O radicals , 2005 .

[281]  M. Ritala,et al.  Growth of Indium‐Tin‐Oxide Thin Films by Atomic Layer Epitaxy , 1995 .

[282]  T. Tuomi,et al.  Effect of growth temperature on the electronic energy band and crystal structure of ZnS thin films grown using atomic layer epitaxy , 1985 .

[283]  Steven M. George,et al.  Viscous flow reactor with quartz crystal microbalance for thin film growth by atomic layer deposition , 2002 .

[284]  Veena Misra,et al.  Encapsulation of organic solar cells with ultrathin barrier layers deposited by ozone-based atomic layer deposition , 2010 .

[285]  I. Bhat,et al.  Improved CdTe layers on GaAs and Si using atomic layer epitaxy , 1993 .

[286]  D. Cahill,et al.  Ultra-Low Thermal Conductivity in W/Al2O3 Nanolaminates , 2004, Science.

[287]  A. Krause,et al.  Effect of support and calcination on the properties of cobalt catalysts prepared by gas phase deposition , 2000 .

[288]  Sbs Stephan Heil,et al.  Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes , 2010 .

[289]  C. Detavernier,et al.  Texture of atomic layer deposited ruthenium , 2010 .

[290]  Ki-Bum Kim,et al.  Nonvolatile memory characteristics of atomic layer deposited Ru nanocrystals with a SiO2/Al2O3 bilayered tunnel barrier , 2010 .

[291]  Y. Aoyagi,et al.  Self limiting growth on nominally oriented (111)A GaAs substrates in atomic layer epitaxy , 1996 .

[292]  D. Gaillot,et al.  Photonic band tuning in two-dimensional photonic crystal slab waveguides by atomic layer deposition , 2006 .

[293]  J. Cunningham,et al.  Atomic layer epitaxy of GaAs by chemical beam epitaxy , 1990 .

[294]  K. Kukli,et al.  Hafnium tetraiodide and oxygen as precursors for atomic layer deposition of hafnium oxide thin films , 2002 .

[295]  Steven M. George,et al.  Tantalum Nitride Atomic Layer Deposition Using (tert-Butylimido)tris(diethylamido)tantalum and Hydrazine , 2008 .

[296]  Victor M. Bright,et al.  Ultra-thin film encapsulation processes for micro-electro-mechanical devices and systems , 2006 .

[297]  M. Fanciulli,et al.  Investigation of interfacial layer development between thin Al2O3 films grown using atomic layer deposition and Si(100), Ge(100), or GaAs(100) , 2009 .

[298]  Husam N. Alshareef,et al.  Growth mechanism of TiN film on dielectric films and the effects on the work function , 2005 .

[299]  M. Ritala,et al.  Atomic Layer Deposition of Platinum Thin Films , 2003 .

[300]  S. Sugou,et al.  Growth of InAs and (InAs)1(GaAs)5 superlattice by atomic layer epitaxy using dimethylindium chloride , 1992 .

[301]  Hyoungsub Kim,et al.  Effects of Annealing Temperature on the Electrical Properties of ALD-Grown Hf-Silicate Films Having Various Si Contents , 2007 .

[302]  Joel Davis,et al.  Low temperature atomic layer deposition of titania thin films , 2010 .

[303]  S. Tolbert,et al.  Tungsten Nitride Inverse Opals by Atomic Layer Deposition , 2003 .

[304]  Hyungjun Kim,et al.  Electrical property improvements of high-k gate oxide by in situ nitrogen incorporation during atomic layer deposition , 2007 .

[305]  S. George,et al.  Atomic Layer Deposition of SiO2 Films on BN Particles Using Sequential Surface Reactions , 2000 .

[306]  D. King,et al.  Atomic layer deposition of quantum-confined ZnO nanostructures , 2009, Nanotechnology.

[307]  W. Tysoe,et al.  The reaction pathway for the growth of alumina on high surface area alumina and in ultrahigh vacuum by a reaction between trimethyl aluminum and water , 1991 .

[308]  J. Stangl,et al.  Structure and growth rate of ZnTe films grown by isothermal closed space sublimation , 2007 .

[309]  The preparation and properties of chromium oxide nanolayers on semiconducting matrices , 2008 .

[310]  E. R. Smith,et al.  ALD of SiO2 at Room Temperature Using TEOS and H 2 O with NH 3 as the Catalyst , 2004 .

[311]  J. Aarik,et al.  Atomic layer deposition of HfO2: Effect of structure development on growth rate, morphology and optical properties of thin films , 2010 .

[312]  M. Pessa,et al.  Characterization of surface exchange reactions used to grow compound films , 1981 .

[313]  K. Kukli,et al.  Influence of thickness and growth temperature on the properties of zirconium oxide films grown by atomic layer deposition on silicon , 2002 .

[314]  M. Willinger,et al.  Effect of annealing and electrical properties of high-κ thin films grown by atomic layer deposition using carboxylic acids as oxygen source , 2009 .

[315]  Tatarenko,et al.  Surface stoichiometry determination using reflection high-energy electron diffraction and atomic-layer epitaxy: The case of ZnTe(100). , 1995, Physical review. B, Condensed matter.

[316]  J. Elam,et al.  Supported ru-pt bimetallic nanoparticle catalysts prepared by atomic layer deposition. , 2010, Nano letters.

[317]  M. Konagai,et al.  Growth of boron-doped ZnO thin films by atomic layer deposition , 1997 .

[318]  Krishna C. Saraswat,et al.  Atomically abrupt and unpinned Al2O3/In0.53Ga0.47As interfaces: Experiment and simulation , 2009 .

[319]  Hcm Harm Knoops,et al.  Surface reactions during atomic layer deposition of Pt derived from gas phase infrared spectroscopy , 2009 .

[320]  S. Bent,et al.  ALD resist formed by vapor-deposited self-assembled monolayers. , 2007, Langmuir : the ACS journal of surfaces and colloids.

[321]  D. Lincot,et al.  Amorphous vanadium oxide films synthesised by ALCVD for lithium rechargeable batteries , 2006 .

[322]  S. Narahara,et al.  In Situ Observation of Atomic Layer Epitaxy of GaAs Using GaCl3 by Surface Photo-Absorption Method , 1993 .

[323]  S. George,et al.  Surface chemistry and infrared absorbance changes during ZnO atomic layer deposition on ZrO2 and BaTiO3 particles , 2005 .

[324]  J. Kittl,et al.  Study of interfacial reactions and phase stabilization of mixed Sc, Dy, Hf high-k oxides by attenuated total reflectance infrared spectroscopy , 2009 .

[325]  J. Skarp,et al.  ALE-reactor for large area depositions , 1997 .

[326]  Crystallization process of high-k gate dielectrics studied by surface X-ray diffraction , 2005 .

[327]  M. Halls,et al.  A family of heteroleptic titanium guanidinates: synthesis, thermolysis, and surface reactivity. , 2010, Inorganic chemistry.

[328]  P. Morgan Synthesis of 6‐nm Ultrafine Monoclinic Zirconia , 1984 .

[329]  Jane P. Chang,et al.  Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides , 2005 .

[330]  Mikko Ritala,et al.  Zirconium dioxide thin films deposited by ALE using zirconium tetrachloride as precursor , 1994 .

[331]  A. Kellock,et al.  ROBUST TANX DIFFUSION BARRIER FOR CU-INTERCONNNECT TECHNOLOGY WITH SUBNANOMETER THICKNESS BY METAL-ORGANIC PLASMA-ENHANCED ATOMIC LAYER DEPOSITION , 2005 .

[332]  T. Gougousi,et al.  Properties of atomic layer deposited HfO2 thin films , 2009 .

[333]  R. Odedra,et al.  Liquid injection MOCVD and ALD of ZrO2 using Zr–cyclopentadienyl precursors , 2007 .

[334]  Sang-Won Kang,et al.  Kinetic modeling of film growth rates of TiN films in atomic layer deposition , 2000 .

[335]  G. Parsons,et al.  Role of Gas Doping Sequence in Surface Reactions and Dopant Incorporation during Atomic Layer Deposition of Al-Doped ZnO , 2009 .

[336]  Han-Bo-Ram Lee,et al.  Applications of atomic layer deposition to nanofabrication and emerging nanodevices , 2009 .

[337]  S. Kim,et al.  In situ synchrotron x-ray characterization of ZnO atomic layer deposition , 2010 .

[338]  S. Seal,et al.  Effect of Nanocrystallite Morphology on the Metastable Tetragonal Phase Stabilization in Zirconia , 2002 .

[339]  M. Ferroni,et al.  Tailoring the pore size and architecture of CeO2/TiO2 core/shell inverse opals by atomic layer deposition. , 2009, Small.

[340]  Fabrication of Oxide/Semiconducting Coaxial Nanotubular Materials Using Atomic Layer Deposition , 2004 .

[341]  J. Nishizawa,et al.  Expanded self-limiting growth condition of InP using alternate trimethylindium and tertiarybutylphosphine supply in ultrahigh vacuum , 2000 .

[343]  Olivier Renault,et al.  Interface and material characterization of thin Al2O3 layers deposited by ALD using TMA/H2O , 2002 .

[344]  E. Guziewicz,et al.  Monocrystalline thin films of ZnSe and ZnO grown by atomic layer epitaxy , 2004 .

[345]  C. Hwang,et al.  Controlling the Composition of Doped Materials by ALD: A Case Study for Al-Doped TiO2 Films , 2008 .

[346]  A. Krause,et al.  Chromium(III) supported on aluminum-nitride-surfaced alumina: characteristics and dehydrogenation activity , 2003 .

[347]  S. Bent,et al.  Nanoengineering and interfacial engineering of photovoltaics by atomic layer deposition. , 2011, Nanoscale.

[348]  Mikko Ritala,et al.  Atomic layer deposition of HfO2 thin films and nanolayered HfO2–Al2O3–Nb2O5 dielectrics , 2003 .

[349]  M. Ritala,et al.  Radical Enhanced Atomic Layer Deposition of Tantalum Oxide , 2007 .

[350]  T. Schram,et al.  Evaluation of Atomic Layer Deposited NbN and NbSiN as Metal Gate Materials , 2006 .

[351]  N. Kwak,et al.  A Comparative Study of the Atomic-Layer-Deposited Tungsten Thin Films as Nucleation Layers for W-Plug Deposition , 2006 .

[352]  F. Yubero,et al.  Ar stabilisation of the cubic/tetragonal phases of ZrO2 in thin films prepared by ion beam induced chemical vapour deposition , 2001 .

[353]  Cheol Seong Hwang,et al.  Chemical interaction between atomic-layer-deposited HfO2 thin films and the Si substrate , 2002 .

[354]  Sbs Stephan Heil,et al.  Plasma-assisted atomic layer deposition of Ta2O5 from alkylamide precursor and remote O2 plasma , 2008 .

[355]  Ming L. Yu,et al.  Reaction of trimethylgallium in the atomic layer epitaxy of GaAs (100) , 1989 .

[356]  J. MacManus‐Driscoll,et al.  Reproducible growth of p-type ZnO:N using a modified atomic layer deposition process combined with dark annealing , 2008 .

[357]  X. Bokhimi,et al.  Nanocrystalline tetragonal zirconia stabilized with yttrium and hydroxyls , 1999 .

[358]  Mikko Ritala,et al.  Etching of Nb2O5 Thin Films by NbCl5 , 2009 .

[359]  Gregory L. Snider,et al.  Aluminum oxide tunnel barriers for single electron memory devices , 2005, Microelectron. J..

[360]  Wei Li,et al.  Giant dielectric constant dominated by Maxwell–Wagner relaxation in Al2O3/TiO2 nanolaminates synthesized by atomic layer deposition , 2010 .

[361]  R. Masut,et al.  Atomic layer epitaxy and structural characterization of InP and InAs/InP heterostructures , 1994 .

[362]  Seokhoon Kim,et al.  Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition , 2007 .

[363]  Carboxylic acids as oxygen sources for the atomic layer deposition of high-k metal oxides , 2008 .

[364]  Ki-Bum Kim,et al.  Formation of Ru nanocrystals by plasma enhanced atomic layer deposition for nonvolatile memory applications , 2006 .

[365]  H. Kattelus,et al.  Layered tantalum-aluminum oxide films deposited by atomic layer epitaxy , 1993 .

[366]  C. Takoudis,et al.  Atomic Layer Deposited Ultrathin HfO2 and Al2O3 Films as Diffusion Barriers in Copper Interconnects , 2007 .

[367]  Mikko Ritala,et al.  Atomic layer deposition chemistry: recent developments and future challenges. , 2003, Angewandte Chemie.

[368]  C. Hwang,et al.  Comparison between ZnO films grown by atomic layer deposition using H2O or O3 as oxidant , 2005 .

[369]  T. Käämbre,et al.  Effects of precursors on nucleation in atomic layer deposition of HfO2 , 2004 .

[370]  Marika Juppo Atomic Layer Deposition of Metal and Transition Metal Nitride Thin Films and In Situ Mass Spectrometry Studies , 2001 .

[371]  M. Hon,et al.  Visible Light Activity of Nitrogen-Doped TiO2 Thin Films Grown by Atomic Layer Deposition , 2008 .

[372]  D. Mitchell,et al.  TEM and ellipsometry studies of nanolaminate oxide films prepared using atomic layer deposition , 2005 .

[373]  J. Pereira‐Ramos,et al.  Atomic Layer Epitaxy of Vanadium Oxide Thin Films and Electrochemical Behavior in Presence of Lithium Ions , 1999 .

[374]  T. Nakamura,et al.  Growth of HfO2 films using an alternate reaction of HfCl4 and O2 under atmospheric pressure , 2004 .

[375]  J. Piqueras,et al.  Atomic layer epitaxy of GaAs from tertiarybutylarsine and triethylgallium , 1995 .

[376]  K. Kukli,et al.  Properties of Ta2 O 5‐Based Dielectric Nanolaminates Deposited by Atomic Layer Epitaxy , 1997 .

[377]  P. Li,et al.  Synthesis of oxidation-resistant metal nanoparticles via atomic layer deposition , 2007 .

[378]  Hani M. El‐Kaderi,et al.  Synthesis, structure and properties of volatile lanthanide complexes containing amidinate ligands: application for Er2O3 thin film growth by atomic layer deposition , 2005 .

[379]  A. Dillon,et al.  Atomic layer controlled growth of Si3N4 films using sequential surface reactions , 1998 .

[380]  J. Hartmann,et al.  Atomic layer epitaxy of CdTe, MgTe and MnTe; growth of CdTe/MnTe tilted superlattices on vicinal surfaces , 1997 .

[381]  M. García-Rocha,et al.  Growth and characterization of ultra‐thin quantum wells of II–VI semiconductors for optoelectronic applications , 2004 .

[382]  E. Filatova,et al.  X-ray spectroscopic examination of thin HfO2 films ALD- and MOCVD-grown on the Si(100) surface , 2010 .

[383]  G. Higashi,et al.  Sequential surface chemical reaction limited growth of high quality Al2O3 dielectrics , 1989 .

[384]  F. Prinz,et al.  Atomic Layer Deposition (ALD) Co-Deposited Pt−Ru Binary and Pt Skin Catalysts for Concentrated Methanol Oxidation , 2010 .

[385]  K. Kukli,et al.  Influence of growth temperature on properties of zirconium dioxide films grown by atomic layer deposition , 2002 .

[386]  Y. Uchida,et al.  Atomic-Layer Chemical-Vapor-Deposition of SiO_2 by Cyclic Exposures of CH_3OSi(NCO)_3 and H_2O_2 , 1995 .

[387]  H. Sitter,et al.  Ultra high vacuum atomic layer epitaxy of CdTe , 1993 .

[388]  J. Bartha,et al.  Electrical characterisation of HfYO MIM-structures deposited by ALD , 2010 .

[389]  Jae Kwang Lee,et al.  Atomic layer deposition of TiO2 nanotubes and its improved electrostatic capacitance , 2010 .

[390]  Y. Suda,et al.  New Si atomic-layer-controlled growth technique with thermally cracked hydride molecule , 2002 .

[391]  S. Franssila,et al.  Ultrathin tunnel insulator films on silicon for electrochemiluminescence studies , 2009 .

[392]  M. Sasaki,et al.  Trimethylgallium Reactions on As-Stabilized and Ga-Stabilized GaAs(100) Surfaces , 1992 .

[393]  Martin L. Green,et al.  Hafnium oxide films by atomic layer deposition for high- κ gate dielectric applications: Analysis of the density of nanometer-thin films , 2005 .

[394]  C. Hwang,et al.  The Formation of an Almost Full Atomic Monolayer via Surface Modification by N2O-Plasma in Atomic Layer Deposition of ZrO2 Thin Films , 2009 .

[395]  Deterministic tuning of slow-light in photonic-crystal waveguides through the C and L bands by atomic layer deposition , 2009, 0912.0788.

[396]  Yong Ju Lee Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3–Ar–H2 plasma treatment for capacitor electrodes , 2005 .

[397]  E. Guziewicz,et al.  Structural and optical properties of low-temperature ZnO films grown by atomic layer deposition with diethylzinc and water precursors , 2009 .

[398]  Tai-bor Wu,et al.  Characteristics of hafnium oxide grown on silicon by atomic-layer deposition using tetrakis(ethylmethylamino)hafnium and water vapor as precursors , 2007 .

[399]  M. Halls,et al.  Suppression of substrate oxidation during ozone based atomic layer deposition of Al2O3: Effect of ozone flow rate , 2010 .

[400]  T. Jackson,et al.  Fast PEALD ZnO Thin-Film Transistor Circuits , 2010, IEEE Transactions on Electron Devices.

[401]  John C. Roberts,et al.  Effect of hydrogen on the indium incorporation in InGaN epitaxial films , 1997 .

[402]  Ho-Kyu Kang,et al.  Comparison of HfO2 films grown by atomic layer deposition using HfCl4 and H2O or O3 as the oxidant , 2003 .

[403]  R. Waser,et al.  Liquid Injection Atomic Layer Deposition of Crystalline TiO2 Thin Films with a Smooth Morphology from Ti ( O-i-Pr ) 2 ( DPM ) 2 , 2009 .

[404]  B. Wielage,et al.  Atomic layer deposition (ALD) as a coating tool for reinforcing fibers , 2010, Analytical and bioanalytical chemistry.

[405]  Y. Aoyagi,et al.  (GaAs) m (GaP) n low dimensional short-period superlattice fabricated by atomic layer epitaxy , 1998 .

[406]  J. Hartmann,et al.  Formation of II–VI nanostructures on vicinal surfaces , 1999 .

[407]  S. Agarwal,et al.  Surface Reaction Mechanisms during Plasma-Assisted Atomic Layer Deposition of Titanium Dioxide , 2009 .

[408]  S. Yeom,et al.  Comparison of Co Films Deposited by Remote Plasma Atomic Layer Deposition Method with Cyclopentadienylcobalt Dicarbonyl [CpCo(CO)2] and Dicobalt Octacarbonyl [Co2(CO)8] , 2007 .

[409]  Jeong Hwan Kim,et al.  Electrical and Bias Temperature Instability Characteristics of n-Type Field-Effect Transistors Using HfO x N y Gate Dielectrics , 2010 .

[410]  B. W. Sanders,et al.  Coverage of rough substrates by ZnS using vacuum evaporation and atomic layer epitaxy , 1989 .

[411]  R. Kuse,et al.  Effect of precursor concentration in atomic layer deposition of Al2O3 , 2003 .

[412]  M. Hong,et al.  Effect of Al Incorporation in the Thermal Stability of Atomic-Layer-Deposited HfO2 for Gate Dielectric Applications , 2007 .

[413]  Sun Jin Yun,et al.  Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition , 2004 .

[414]  L. Frey,et al.  Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application , 2010 .

[415]  K. Nielsch,et al.  Atomic layer deposition of ZnS nanotubes , 2009, Nanotechnology.

[416]  A. Koukitu,et al.  Determination of Surface Chemical Species in GaAs Atomic Layer Epitaxy by In Situ Gravimetric Monitoring , 1994 .

[417]  R. Williams,et al.  Atomic layer deposition of aluminum oxide on hydrophobic and hydrophilic surfaces , 2007 .

[418]  J. Talghader,et al.  Control of thermal deformation in dielectric mirrors using mechanical design and atomic layer deposition. , 2009, Optics letters.

[419]  Joseph T. Hupp,et al.  Surface modification of SnO2 photoelectrodes in dye-sensitized solar cells: Significant improvements in photovoltage via Al2O3 atomic layer deposition , 2010 .

[420]  A. Stesmans,et al.  Electronic structure of the interface of aluminum nitride with Si(100) , 2008 .

[421]  M. Fanciulli,et al.  Dielectric properties of high-kappa oxides: theory and experiment for Lu2O3. , 2005, Physical review letters.

[422]  Yong Ding,et al.  Modifying the anti-wetting property of butterfly wings and water strider legs by atomic layer deposition coating: surface materials versus geometry , 2008, Nanotechnology.

[423]  C. Hwang,et al.  Properties of MIS Capacitors Using the Atomic-Layer-Deposited ZnO Semiconductor and Al2O3 Insulator , 2008 .

[424]  H. Fjellvåg,et al.  Simulation of growth dynamics for nearly epitaxial films , 2007 .

[425]  Ho-Kyu Kang,et al.  Atomic layer deposition of zirconium silicate films using zirconium tetrachloride and tetra-n-butyl orthosilicate , 2002 .

[426]  L. Stolt,et al.  XPS instrument coupled with ALCVD reactor for investigation of film growth , 2002 .

[427]  Thomas E. Seidel,et al.  Thin film atomic layer deposition equipment for semiconductor processing , 2002 .

[428]  A. Krause,et al.  Successive reactions of gaseous trimethylaluminium and ammonia on porous alumina , 2001 .

[429]  H. Munekata,et al.  Surface smoothing of GaAs microstructure by atomic layer epitaxy , 1999 .

[430]  G. Parsons,et al.  Angular behavior of the Berreman effect investigated in uniform Al2O3 layers formed by atomic layer deposition , 2010, Journal of physics. Condensed matter : an Institute of Physics journal.

[431]  F. Zaera,et al.  Surface chemistry in the atomic layer deposition of TiN films from TiCl4 and ammonia. , 2006, The journal of physical chemistry. B.

[432]  M. Hersam,et al.  Synthesis of nanoporous activated iridium oxide films by anodized aluminum oxide templated atomic layer deposition. , 2010 .

[433]  H. Sitter,et al.  Atomic‐layer epitaxy of (111)CdTe on BaF2 substrates , 1988 .

[434]  Kimoon Lee,et al.  Transparent and Photo‐stable ZnO Thin‐film Transistors to Drive an Active Matrix Organic‐Light‐ Emitting‐Diode Display Panel , 2009 .

[435]  Stafford W. Sheehan,et al.  TiO(2)/TiSi(2) heterostructures for high-efficiency photoelectrochemical H(2)O splitting. , 2009, Journal of the American Chemical Society.

[436]  E. Guziewicz,et al.  Comparison of dimethylzinc and diethylzinc as precursors for monocrystalline zinc oxide grown by atomic layer deposition method , 2010 .

[437]  Masakiyo Matsumura,et al.  Gas-phase-reaction-controlled atomic-layer-epitaxy of silicon , 1998 .

[438]  D. Garcia-Alonso,et al.  Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing , 2012 .

[439]  R. Puurunen Preparation by Atomic Layer Deposition and Characterisation of Catalyst Supports Surfaced with Aluminium Nitride , 2002 .

[440]  H. Jeon,et al.  The effect of oxygen remote plasma treatment on ZnO TFTs fabricated by atomic layer deposition , 2010 .

[441]  Surface treatment for high-quality Al2O3 and HfO2 layers deposited on HF-dipped surface by atomic layer deposition , 2003 .

[442]  Mikko Ritala,et al.  Atomic Layer Deposition of Iridium Thin Films , 2004 .

[443]  Paul T. Williams,et al.  Advanced cyclopentadienyl precursors for atomic layer deposition of ZrO2 thin films , 2008 .

[444]  T. Honda,et al.  Growth of CuGaS2 by alternating-source-feeding MOVPE , 1991 .

[445]  Ching-Fuh Lin,et al.  Coverage Analysis for the Core/Shell Electrode of Dye-Sensitized Solar Cells , 2010 .

[446]  M. Ritala,et al.  Atomic layer deposition of ZrO2 thin films using a new alkoxide precursor , 2002 .

[447]  I. Ferguson,et al.  MOCVD growth of GaN on Si(111) substrates using an ALD-grown Al2O3 interlayer , 2009 .

[448]  E. Eisenbraun,et al.  Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications , 2009 .

[449]  A. Auroux,et al.  Preparation, characterization and activity testing of vanadia catalysts deposited onto silica and alumina supports by atomic layer deposition , 2002 .

[450]  M. Fanciulli,et al.  Effect of rapid thermal annealing on optical and interfacial properties of atomic-layer-deposited Lu2O3 films on Si (100) , 2008 .

[451]  M. Okochi,et al.  Fabrication process of carbon nanotube field effect transistors using atomic layer deposition passivation for biosensors. , 2010, Journal of Nanoscience and Nanotechnology.

[452]  M. Ritala,et al.  In situ reaction mechanism studies on the atomic layer deposition of Al2O3 from (CH3)2AlCl and water. , 2005, Langmuir : the ACS journal of surfaces and colloids.

[453]  S. George,et al.  Nucleation and growth during the atomic layer deposition of W on Al2O3 and Al2O3 on W , 2004 .

[454]  K. Kukli,et al.  Recent developments in the MOCVD and ALD of rare earth oxides and silicates , 2005 .

[455]  M. Ylilammi,et al.  Metal Fluoride Thin Films Prepared by Atomic Layer Deposition , 1994 .

[456]  A. Krause,et al.  Beta Zeolite-Supported Iridium Catalysts by Gas Phase Deposition , 2009 .

[457]  H. Sitter,et al.  Observation of different reflected high-energy electron diffraction patterns during atomic layer epitaxy growth of CdTe epilayers , 1991 .

[458]  S. Bent,et al.  Formation of an oxide-free Ge/TiO2 interface by atomic layer deposition on brominated Ge , 2008 .

[459]  J. Elam,et al.  Atomic layer deposition of W on nanoporous carbon aerogels , 2006 .

[460]  M. Ritala,et al.  AFM and STM studies on In2O3 and ITO thin films deposited by atomic layer epitaxy , 1996 .

[461]  Mikko Ritala,et al.  Atomic layer epitaxy growth of aluminum oxide thin films from a novel Al(CH3)2Cl precursor and H2O , 1997 .

[462]  Dong-Jin Kim,et al.  Applicability of ALE TiN films as Cu/Si diffusion barriers , 2000 .

[463]  Fang-Ling Kuo,et al.  A comparative study of the photoluminescence and conduction mechanisms of low temperature pulsed laser deposited and atomic layer deposited zinc oxide thin films , 2010 .

[464]  Konstantin Karavaev,et al.  The initial atomic layer deposition of HfO2∕Si(001) as followed in situ by synchrotron radiation photoelectron spectroscopy , 2008 .

[465]  S. Yun,et al.  Characteristics of Aluminum Silicate Films Grown by Plasma-Enhanced Atomic Layer Deposition , 2005 .

[466]  T. Lu,et al.  Surface characterization of copper electroless deposition on atomic layer deposited palladium on iridium and tungsten , 2006 .

[467]  C. Du,et al.  High Efficiency Silicon Solar Cells with Bilayer Passivation Structure , 2009 .

[468]  C. Hwang,et al.  Investigation on the Growth Initiation of Ru Thin Films by Atomic Layer Deposition , 2010 .

[469]  Mikko Ritala,et al.  Explosive Crystallization in Atomic Layer Deposited Mixed Titanium Oxides , 2009 .

[470]  Evgeni P. Gusev,et al.  Structure and stability of ultrathin zirconium oxide layers on Si(001) , 2000 .

[471]  E. Eisenbraun,et al.  Atomic layer deposition growth of a novel mixed-phase barrier for seedless copper electroplating applications , 2009 .

[472]  Hyoun-woo Kim,et al.  Effect of annealing on the photoluminescence characteristics of ZnO thin films grown on the sapphire substrate by atomic layer epitaxy , 2004 .

[473]  J. Lim,et al.  Stabilization of Al2O3 gate oxide on plastic substrate for low temperature poly-silicon by in situ plasma treatment , 2010 .

[474]  K. Opsomer,et al.  Atomic Layer Deposition of Strontium Titanate Films Using Sr ( #2#1Cp ) 2 and Ti ( OMe ) 4 , 2010 .

[475]  C. Park,et al.  Characteristics of ZrO2 gate dielectric deposited using Zr t–butoxide and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method , 2002 .

[476]  J. Nishizawa,et al.  Growth rate reduction in self-limiting growth of doped GaAs by molecular layer epitaxy , 2003 .

[477]  Masakiyo Matsumura,et al.  Atomic layer epitaxy of Si using atomic H , 1993 .

[478]  Se‐Hun Kwon,et al.  PEALD of a Ruthenium Adhesion Layer for Copper Interconnects , 2004 .

[479]  Y. Takemura,et al.  Self-Limiting Growth in Atomic Layer Epitaxy of ZnTe , 1991 .

[480]  Steven M. George,et al.  Surface chemistry of Al2O3 deposition using Al(CH3)3 and H2O in a binary reaction sequence , 1995 .

[481]  U. Gösele,et al.  Single-crystalline MgAl2O4 spinel nanotubes using a reactive and removable MgO nanowire template , 2006 .

[482]  Michael J. Sepaniak,et al.  Use of Atomic Layer Deposition to Improve the Stability of Silver Substrates for In-Situ, High Temperature SERS Measurements , 2010 .

[483]  Y. Kumagai,et al.  Halogen-Transport Atomic-Layer Epitaxy of Cubic GaN Monitored by In Situ Gravimetric Method , 1999 .

[484]  E. Bertagnolli,et al.  Low temperature atomic layer deposition of high-k dielectric stacks for scaled metal-oxide-semiconductor devices , 2009 .

[485]  J. Roh,et al.  Low‐Temperature ALD Growth of SrTiO3 Thin Films from Sr β‐Diketonates and Ti Alkoxide Precursors Using Oxygen Remote Plasma as an Oxidation Source , 2002 .

[486]  M. Knez Diffusion phenomena in atomic layer deposition , 2012 .

[487]  P. Bouvier,et al.  Low temperature phase transformation of nanocrystalline tetragonal ZrO2 by neutron and Raman scattering studies , 2001 .

[488]  E. Guziewicz,et al.  Extra-Low Temperature Growth of ZnO by Atomic Layer Deposition with Diethylzinc Precursor , 2007 .

[489]  Leena‐Sisko Johansson,et al.  Effect of annealing in processing of strontium titanate thin films by ALD , 2003 .

[490]  E. Eisenbraun,et al.  Effects of Hydrogen Plasma Treatments on the Atomic Layer Deposition of Copper , 2008 .

[491]  Atomic layer deposition of chromium oxide nanolayers , 2007 .

[492]  A. Yoshikawa,et al.  Surface reaction mechanism in MOMBE-ALE of ZnSe and CdSe as determined by a new in-situ optical probing method , 1994 .

[493]  H. Akazawa Temperature effects on synchrotron-radiation-excited Si atomic layer epitaxy using disilane , 1994 .

[494]  H. Baumgart,et al.  Synthesis of nested coaxial multiple-walled nanotubes by atomic layer deposition. , 2010, ACS nano.

[495]  H. Fjellvåg,et al.  Growth of thin films of Co3O4 by atomic layer deposition , 2007 .

[496]  Johann W. Bartha,et al.  In situ reaction mechanism studies on ozone-based atomic layer deposition of Al(2)O(3) and HfO(2). , 2010, ACS applied materials & interfaces.

[497]  J. Swerts,et al.  Atomic Layer Deposition of Hafnium Silicate from HfCl4, SiCl4, and H2O , 2007 .

[498]  F. Zaera The surface chemistry of thin film atomic layer deposition (ALD) processes for electronic device manufacturing , 2008 .

[499]  F. Prinz,et al.  Catalysts with Pt Surface Coating by Atomic Layer Deposition for Solid Oxide Fuel Cells , 2010 .

[500]  R. Waser,et al.  Growth of ternary PbTiOx films in a combination of binary oxide atomic layer depositions , 2007 .

[501]  Hongqi Xu,et al.  Gate-defined quantum-dot devices realized in InGaAs/InP by incorporating a HfO2 layer as gate dielectric , 2009 .

[502]  O. Richard,et al.  The impact of the density and type of reactive sites on the characteristics of the atomic layer deposited WNxCy films , 2006 .

[503]  S. George,et al.  Tungsten atomic layer deposition on cobalt nanoparticles , 2008 .

[504]  T. Yoshimoto,et al.  Low-temperature formation of silicon nitride gate dielectrics by atomic-layer deposition , 2001 .

[505]  T. Sajavaara,et al.  Atomic layer deposition and characterization of biocompatible hydroxyapatite thin films , 2009 .

[506]  T. Chiu Direct observation of GaAs atomic layer epitaxy by reflection high‐energy electron diffraction , 1989 .

[507]  E. Guziewicz,et al.  Photoluminescence, electrical and structural properties of ZnO films, grown by ALD at low temperature , 2009 .

[508]  C. Winter,et al.  Growth of Tantalum(V) Oxide Films by Atomic Layer Deposition Using the Highly Thermally Stable Precursor Ta(NtBu)(iPrNC(Me)NiPr)2(NMe2) , 2010 .

[509]  M. V. Hove,et al.  Characterization of atomic layer deposited nanoscale structure on dense dielectric substrates by X-ray reflectivity , 2005 .

[510]  J. Aarik,et al.  Growth kinetics and structure formation of ZrO2 thin films in chloride-based atomic layer deposition process , 2002 .

[511]  J. Hartmann,et al.  Atomic layer epitaxy and molecular beam epitaxy of CdTe/MnTe superlattices: A structural and optical study , 1998 .

[512]  S. Rossnagel,et al.  Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers , 2000 .

[513]  Q. Ma,et al.  Uptake of Copper Acetamidinate ALD Precursors on Nickel Surfaces , 2010 .

[514]  T. Yao The Effect of Lattice Misfit on Lattice Parameters and Photoluminescence Properties of Atomic Layer Epitaxy Grown ZnSe on (100)GaAs Substrates , 1986 .

[515]  A. Aierken,et al.  Passivation of GaAs surface by atomic-layer-deposited titanium nitride , 2008 .

[516]  C. Musgrave,et al.  Non-growth ligand exchange reactions in atomic layer deposition of HfO2 , 2006 .

[517]  D. Ahn,et al.  Effects of Confinement on the Valley Splitting of Si Quantum Structures , 2008 .

[518]  Sang-Won Kang,et al.  Kinetic Modeling of Film Growth Rate in Atomic Layer Deposition , 2001 .

[519]  Byung Joon Choi,et al.  Atomic Layer Deposition of TiO2 Films on Ru Buffered TiN Electrode for Capacitor Applications , 2009 .

[520]  E. Eisenbraun,et al.  Plasma-Assisted Atomic Layer Deposition of Conductive Hafnium Nitride Using Tetrakis(ethylmethylamino)hafnium for CMOS Gate Electrode Applications , 2008 .

[521]  Zhenan Bao,et al.  Low-voltage and short-channel pentacene field-effect transistors with top-contact geometry using parylene-C shadow masks , 2010 .

[522]  Wilfried Vandervorst,et al.  Nucleation and growth of atomic layer deposited HfO2 gate dielectric layers on chemical oxide (Si–O–H) and thermal oxide (SiO2 or Si–O–N) underlayers , 2002 .

[523]  H. Jeon,et al.  TiN Diffusion Barrier Grown by Atomic Layer Deposition Method for Cu Metallization , 2001 .

[524]  Chun-Hao Hsu,et al.  The effect of deposition temperature on the properties of TiN diffusion barriers prepared by atomic layer chemical vapor deposition , 2005 .

[525]  P. Gonon,et al.  Physicochemical and electrical characterizations of atomic layer deposition grown HfO2 on TiN and Pt for metal-insulator-metal application , 2009 .

[526]  E. Vogel,et al.  S passivation of GaAs and band bending reduction upon atomic layer deposition of HfO2/Al2O3 nanolaminates , 2008 .

[527]  Jaan Aarik,et al.  Refractive index gradients in TiO2 thin films grown by atomic layer deposition , 2006 .

[528]  M. Pessa,et al.  Growth Mechanism in Atomic Layer Epitaxy (I) Re‐evaporation of Cd and Te from CdTe(111) Surfaces Monitored by Auger Electron Spectroscopy , 1986 .

[529]  T. Miller,et al.  Adsorption and thermal reactions of disilane and the growth of Si films on Ge(100)-(2×1) , 1993 .

[530]  Je-Hun Lee,et al.  Thermal stability and structural characteristics of HfO2 films on Si (100) grown by atomic-layer deposition , 2002 .

[531]  Y. Jin,et al.  Effect of Rapid Thermal Annealing on the Structure and the Electrical Properties of Atomic-Layer-Deposited Ta$_2$O$_5$ Films , 2000 .

[532]  Cheol Seong Hwang,et al.  Influence of the oxygen concentration of atomic-layer-deposited HfO2 films on the dielectric property and interface trap density , 2005 .

[533]  K. Hara,et al.  Atomic layer epitaxy of AlAs using ethyldimethylamine alane as a new aluminum source , 1994 .

[534]  H. Kumigashira,et al.  Chemical reaction and metallic cluster formation by annealing-temperature control in ZrO2 gate dielectrics on Si , 2004 .

[535]  J. Son,et al.  Ru nanostructure fabrication using an anodic aluminum oxide nanotemplate and highly conformal Ru atomic layer deposition , 2008, Nanotechnology.

[536]  V. Drozd,et al.  Synthesis of conducting oxides by ML-ALE , 1994 .

[537]  P. Evans,et al.  Nanostructured TiO2 membranes by atomic layer deposition , 2006 .

[538]  Uwe Schroeder,et al.  Physical properties of ALD-Al2O3 in a DRAM-capacitor equivalent structure comparing interfaces and oxygen precursors , 2004 .

[539]  M. Ritala,et al.  Atomic Layer Epitaxy Growth of TiN Thin Films from Til4 and NH 3 , 1998 .

[540]  Makoto Konagai,et al.  Atomic layer deposition of ZnO transparent conducting oxides , 1997 .

[541]  Mikko Ritala,et al.  Development of Dielectric Properties of Niobium Oxide, Tantalum Oxide, and Aluminum Oxide Based Nanolayered Materials , 2001 .

[542]  O.M. Hahtela,et al.  Atomic-Layer-Deposited Alumina $(\hbox{Al}_{2}\hbox{O}_{3})$ Coating on Thin-Film Cryoresistors , 2009, IEEE Transactions on Instrumentation and Measurement.

[543]  M. Ritala,et al.  ALD of Rhodium Thin Films from Rh ( acac ) 3 and Oxygen , 2005 .

[544]  G. Tallarida,et al.  Atomic layer deposition of Lu silicate films using [(Me3Si)2N]3Lu , 2006 .

[545]  Kai-Erik Elers,et al.  TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD , 2005 .

[546]  J. Son,et al.  Plasma-Enhanced ALD of TiO2 Thin Films on SUS 304 Stainless Steel for Photocatalytic Application , 2009 .

[547]  H. Hwang,et al.  Investigation of the initial stage of growth of HfO2 films on Si(100) grown by atomic-layer deposition using in situ medium energy ion scattering , 2005 .

[548]  S. Haukka,et al.  Adsorption controlled preparation of heterogeneous catalysts , 1999 .

[549]  A. Koukitu,et al.  In Situ Monitoring of Surface Kinetics in GaAs Atomic Layer Epitaxy by Surface Photo-Absorption Method , 1991 .

[550]  J. Hartmann,et al.  Self-regulated growth of tilted superlattices by atomic layer epitaxy , 1998 .

[551]  D. Farmer,et al.  High density Ru nanocrystal deposition for nonvolatile memory applications , 2007 .

[552]  C. Hwang,et al.  Characteristics of Organic Light Emitting Diodes with Al-Doped ZnO Anode Deposited by Atomic Layer Deposition , 2005 .

[553]  S. Gates,et al.  Si ALE using chlorine/hydrogen exchange. Fundamentals and films , 1994 .

[554]  R. Gordon,et al.  Atomic Layer Deposition of Ultrathin Copper Metal Films from a Liquid Copper(I) Amidinate Precursor , 2006 .

[555]  Clifford L. Henderson,et al.  Area-Selective ALD of Titanium Dioxide Using Lithographically Defined Poly(methyl methacrylate) Films , 2006 .

[556]  B. E. White,et al.  Impact of Deposition and Annealing Temperature on Material and Electrical Characteristics of ALD HfO2 , 2004 .

[557]  J. Elam,et al.  Conformal ZnO coatings on high surface area silica gel using atomic layer deposition , 2008 .

[558]  Hajime Shoji,et al.  Growth and optical evaluation of InGaAs/GaAs quantum dots self-formed during alternate supply of precursors , 1997 .

[559]  J. Escrig,et al.  Crossover between two different magnetization reversal modes in arrays of iron oxide nanotubes , 2008, 1106.2833.

[560]  S. Rhee,et al.  Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane/Hydrogen Gas , 2010 .

[561]  H. Baumgart,et al.  Experimental Study of ALD HfO2 Deposited on Strained Silicon-on-Insulator and Standard SOI , 2008 .

[562]  C. Chang,et al.  Pore sealing of mesoporous silica low-k dielectrics by oxygen and argon plasma treatments , 2009 .

[563]  Yong‐Hae Kim,et al.  Etching characteristics of Al2O3 thin films in inductively coupled BCl3/Ar plasma , 2008 .

[564]  G. Parsons,et al.  Surface polarity shielding and hierarchical ZnO Nano-architectures produced using sequential hydrothermal crystal synthesis and thin film atomic layer deposition. , 2009, ACS nano.

[565]  Y. Senzaki,et al.  Equivalent oxide thickness reduction of interpoly dielectric using ALD-Al2O3 for flash device application , 2004 .

[566]  Esther Kim,et al.  Atomic Layer Deposition of Insulating Hafnium and Zirconium Nitrides , 2004 .

[567]  J. Ekerdt,et al.  Surface chemistry of (2,4-dimethylpentadienyl)(ethylcyclopentadienyl)Ru on polycrystalline Ta , 2009 .

[568]  W. Lee,et al.  Effects of annealing temperature of buffer layer on structural and optical properties of ZnO thin film grown by atomic layer deposition , 2008 .

[569]  Q. Jiang,et al.  Size and pressure effects on solid transition temperatures of ZrO2 , 2006 .

[570]  M. Ritala,et al.  Analysis of AlN thin films by combining TOF-ERDA and NRB techniques , 1996 .

[571]  Won-Jae Lee,et al.  Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition , 2005 .

[572]  Gwan-Ha Kim,et al.  Ferroelectric properties of Bi3.25La0.75Ti3O12 films using HfO2 as buffer layers for nonvolatile-memory field-effect transistors , 2008 .

[573]  M. Hersam,et al.  Tuning the Composition and Nanostructure of Pt/Ir Films via Anodized Aluminum Oxide Templated Atomic Layer Deposition , 2010 .

[574]  Hyoun-woo Kim,et al.  Variation of ZnO shell thickness and its effects on the characteristics of coaxial nanowires. , 2008, Nanotechnology.

[575]  Sbs Stephan Heil,et al.  In situ reaction mechanism studies of plasma-assisted atomic layer deposition of Al2O3 , 2006 .

[576]  Yan-Kai Chiou,et al.  Interfacial self-cleaning in atomic layer deposition of HfO2 gate dielectric on In0.15Ga0.85As , 2006 .

[577]  H. Munekata,et al.  Atomic layer epitaxy of AlP and (AlP)n(GaP)n superlattice using ethyldimethylamine alane as a new aluminum source , 1999 .

[578]  E. Yoon,et al.  In situ analysis of surface photoabsorption spectra during InP ALE in metal organic chemical vapor deposition , 2000 .

[579]  Han-Bo-Ram Lee,et al.  Nitride mediated epitaxy of CoSi2 through self-interlayer-formation of plasma-enhanced atomic layer deposition Co , 2007 .

[580]  M. Leskelä,et al.  Atomic Layer Deposition of SrS and BaS Thin Films Using Cyclopentadienyl Precursors , 2002 .

[581]  M. Steinhart,et al.  Formation of titania/silica hybrid nanowires containing linear mesocage arrays by evaporation-induced block-copolymer self-assembly and atomic layer deposition. , 2007, Angewandte Chemie.

[582]  Hcm Harm Knoops,et al.  Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition , 2007 .

[583]  Miin-Jang Chen,et al.  Low-Threshold Stimulated Emission in ZnO Thin Films Grown by Atomic Layer Deposition , 2008, IEEE Journal of Selected Topics in Quantum Electronics.

[584]  P. Evans,et al.  Atomic layer deposition of TiO2 and Al2O3 thin films and nanolaminates , 2006 .

[585]  S. Haukka,et al.  Dispersion and distribution of titanium species bound to silica from titanium tetrachloride , 1993 .

[586]  An efficient Si light-emitting diode based on an n- ZnO/SiO2-Si nanocrystals-SiO2/p-Si heterostructure. , 2009, Nanotechnology.

[587]  D. Lin,et al.  Optical and structural characteristics of ZnO films grown on (0 0 0 1) sapphire substrates by ALD using DEZn and N2O , 2008 .

[588]  M. Ritala,et al.  In Situ Reaction Mechanism Studies on Atomic Layer Deposition of Sb2Te3 and GeTe from (Et3Si)2Te and Chlorides , 2010 .

[589]  J. Aarik,et al.  Effect of crystal structure on optical properties of TiO2 films grown by atomic layer deposition , 1997 .

[590]  S. George,et al.  Protection of polymer from atomic-oxygen erosion using Al2O3 atomic layer deposition coatings , 2008 .

[591]  M. Ritala,et al.  Atomic Layer Deposition of Strontium Tantalate Thin Films from Bimetallic Precursors and Water , 2004 .

[592]  S. Ferro,et al.  Atomically controlled growth of tungsten and tungsten nitride using sequential surface reactions , 2000 .

[593]  Tuomo Suntola,et al.  Atomic layer growth of TiO2 on silica , 1992 .

[594]  H. Fjellvåg,et al.  Interfacial studies of Al2O3 deposited on 4H‐SiC(0001) , 2008 .

[595]  Yi Xuan,et al.  Atomic-layer-deposited nanostructures for graphene-based nanoelectronics , 2008 .

[596]  Y. Aoyagi,et al.  Atomic layer epitaxy of GaAs and GaAsxP1 − x on nominally oriented GaAs(111) substrates with high quality surface and interfaces , 1996 .

[597]  B. Choi,et al.  Preparation of tantalum oxide thin films by photo-assisted atomic layer deposition , 2004 .

[598]  Stefan De Gendt,et al.  Impact of Precursor Chemistry and Process Conditions on the Scalability of ALD HfO2 Gate Dielectrics , 2010 .

[599]  M. Ritala,et al.  Introducing atomic layer epitaxy for the deposition of optical thin films , 1996 .

[600]  S. Haukka,et al.  Advanced Materials Processing by Adsorption Control , 1997 .

[601]  J. Hupp,et al.  Atomic Layer Deposition of Indium Tin Oxide Thin Films Using Nonhalogenated Precursors , 2008 .

[602]  M. Ulla,et al.  Zirconia-Supported Cobalt as a Catalyst for Methane Combustion , 2001 .

[603]  Jae-Hoon Kim,et al.  Atomic Layer Deposition of Ruthenium Thin Films for Copper Glue Layer , 2004 .

[604]  S. Bent,et al.  Achieving area-selective atomic layer deposition on patterned substrates by selective surface modification , 2005 .

[605]  A. Demkov,et al.  Combined experimental and theoretical study of thin hafnia films , 2008 .

[606]  S. Desu Ultra-thin TiO2 films by a novel method , 1992 .

[607]  W. Rachmady,et al.  A comparison of liquid and gas phase surface preparation of III-V compound semiconductors for atomic layer deposition , 2009 .

[608]  C. Krug,et al.  Suppression of parasitic Si substrate oxidation in HfO2–ultrathin-Al2O3–Si structures prepared by atomic layer deposition , 2005 .

[609]  K. Hashimoto,et al.  TiO2 Thin Films Prepared by Pulsed Beam Chemical Vapor Deposition from Titanium Tetraisopropoxide and Water , 1992 .

[610]  K. Yong,et al.  ZrO2-COATED SiC NANOWIRES PREPARED BY PLASMA-ENHANCED ATOMIC LAYER CHEMICAL VAPOR DEPOSITION , 2005 .

[611]  Yunzhi Wang,et al.  In situ infrared spectroscopy of hafnium oxide growth on hydrogen-terminated silicon surfaces by atomic layer deposition , 2005 .

[612]  M. Sillanpää,et al.  Atomic layer deposited TiO2 films in photodegradation of aqueous salicylic acid , 2009 .

[613]  Y. Chabal,et al.  Hydrogen Barrier Layer Against Silicon Oxidation during Atomic Layer Deposition of Al2O3 and HfO2 , 2007 .

[614]  D. King,et al.  Optimal preparation of Pt/TiO2 photocatalysts using atomic layer deposition , 2010 .

[615]  J. Hartmann,et al.  The role of 2D islands in the epitaxial growth of (001) CdTe , 1998 .

[616]  K. Kukli,et al.  Atomic Layer Deposition of Gadolinium Oxide Films , 2007 .

[617]  M. Putkonen,et al.  Cerium dioxide buffer layers at low temperature by atomic layer deposition , 2002 .

[618]  P. Ho,et al.  Initial interface formation of Ta-based barriers on SiLK™ low dielectric constant films , 2005 .

[619]  W. Paszkowicz,et al.  ZnO by ALD — Advantages of the Material Grown at Low Temperature , 2009 .

[620]  Byung Joon Choi,et al.  Resistive switching mechanism of TiO2 thin films grown by atomic-layer deposition , 2005 .

[621]  M. Godlewski,et al.  Monocrystalline films of sphalerite-type ZnSe grown by atomic layer epitaxy in a gas flow system , 1999 .

[622]  V. Misra,et al.  Platinum Nanoparticles Grown by Atomic Layer Deposition for Charge Storage Memory Applications , 2010 .

[623]  Jin-seong Park,et al.  Enhancement of the TiO2 Thin-Film Dielectric Constant Through Pretreatment of Ir Substrate , 2009 .

[624]  C. Wolden,et al.  Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition , 2009 .

[625]  Atomic layer deposited silicon dioxide films on nanomechanical silicon nitride resonators , 2010 .

[626]  A. Malygin,et al.  Reactivity of Phenol-Formaldehyde Microspheres toward PCl3, VOCl3, and CrO2Cl2 Vapors , 2002 .

[627]  Clifford L. Henderson,et al.  A top surface imaging method using area selective ALD on chemically amplified polymer photoresist films , 2006 .

[628]  K. Kukli,et al.  Atomic layer deposition of zirconium oxide from zirconium tetraiodide, water and hydrogen peroxide , 2001 .

[629]  Elton Graugnard,et al.  Large-Scale Fabrication of Ordered Nanobowl Arrays , 2004 .

[630]  R. Solanki,et al.  Atomic Layer Deposition of Lanthanum Oxide Films for High-κ Gate Dielectrics , 2004 .

[631]  M. Putkonen,et al.  A comparative study on lanthanide oxide thin films grown by atomic layer deposition , 2005 .

[632]  Wen-Jen Lee,et al.  Properties of TiN films grown by atomic-layer chemical vapor deposition with a modified gaseous-pulse sequence , 2006 .

[633]  O. M. Roche,et al.  Replicated Photonic Crystals by Atomic Layer Deposition within Holographically Defined Polymer Templates , 2009 .

[634]  Fabrication of ZnO/Al2O3 core–shell nanostructures and crystalline Al2O3 nanotube , 2008 .

[635]  S. George,et al.  Low-Temperature Al2O3 Atomic Layer Deposition , 2004 .

[636]  K. Mizohata,et al.  A pyrazolate-based metalorganic tantalum precursor that exhibits high thermal stability and its use in the atomic layer deposition of ta(2)o(5). , 2007, Journal of the American Chemical Society.

[637]  Jin-seong Park,et al.  Tantalum(V) nitride inverse opals as photonic structures for visible wavelengths. , 2005, The journal of physical chemistry. B.

[638]  K. Yong,et al.  Photoelectron spectroscopic analysis of Hf-silicate/SiO2∕Si stacks deposited by atomic layer chemical vapor deposition , 2006 .

[639]  J. Schoonman,et al.  Comparative study of atomic layer deposition and low-pressure MOCVD of copper sulfide thin films , 2001 .

[640]  Lauri Niinistö,et al.  Atomic layer deposition of rare earth oxides: erbium oxide thin films from β-diketonate and ozone precursors , 2004 .

[641]  Soo Hong Lee,et al.  Fabrication and properties of A1N film on GaN substrate by using remote plasma atomic layer deposition method , 2009 .

[642]  Qingyi Pan,et al.  Grain size control and gas sensing properties of ZnO gas sensor , 2000 .

[643]  Sunghoon Park,et al.  Preparation of one dimensional Bi2O3-core/ZnO-shell structures by thermal evaporation and atomic layer deposition , 2009 .

[644]  Steven M. George,et al.  Atomic Layer Deposition of MgO Using Bis(ethylcyclopentadienyl)magnesium and H2O , 2009 .

[645]  G. Parsons,et al.  Atomic layer deposition of conformal inorganic nanoscale coatings on three-dimensional natural fiber systems: effect of surface topology on film growth characteristics. , 2007, Langmuir : the ACS journal of surfaces and colloids.

[646]  M. D'evelyn,et al.  Self-limiting diamond growth from alternating CFx and H fluxes , 1998 .

[647]  Liquid Injection ALD of Pb(Zr,Ti)Ox Thin Films by a Combination of Self-Regulating Component Oxide Processes , 2007 .

[648]  Lauri Niinistö,et al.  Formation and stability of lanthanum oxide thin films deposited from β-diketonate precursor , 2001 .

[649]  J. Hanson,et al.  [Ca(Thd)2(Tetraen)]: A Monomeric Precursor for Deposition of CaS Thin Films , 1997 .

[650]  D. Farmer,et al.  ALD of High- κ Dielectrics on Suspended Functionalized SWNTs , 2005 .

[651]  C. Li,et al.  Atomic Layer Deposition of ZnO on Multi-walled Carbon Nanotubes and Its Use for Synthesis of CNT–ZnO Heterostructures , 2010, Nanoscale research letters.

[652]  S. D. Elliott,et al.  Atomic-scale simulation of ALD chemistry , 2012 .

[653]  J. M. Gray,et al.  Micromachined resonators of high Q-factor based on atomic layer deposited alumina , 2009 .

[654]  D. Lincot,et al.  Atomic layer deposition of zinc oxide and indium sulfide layers for Cu(In,Ga)Se2 thin-film solar cells , 2001 .

[655]  M. Ozeki,et al.  Atomic layer epitaxy of III–V compounds using metalorganic and hydride sources , 1992 .

[656]  T. Gougousi,et al.  Interface of atomic layer deposited HfO2 films on GaAs (100) surfaces , 2008 .

[657]  Changdeuck Bae,et al.  Template-directed gas-phase fabrication of oxide nanotubes , 2008 .

[658]  Seung Min Kim,et al.  Genesis and Evolution of Surface Species during Pt Atomic Layer Deposition on Oxide Supports Characterized by in Situ XAFS Analysis and Water−Gas Shift Reaction , 2010 .

[659]  A. Kitai,et al.  The surface morphology of atomic layer deposited magnesia , 1993 .

[660]  S. George,et al.  Atomic layer deposition of MnO using Bis(ethylcyclopentadienyl)manganese and H2O , 2009 .

[661]  Thomas P. Pearsall,et al.  Chemical Composition of AlN Thin Films Deposited at 523−723 K Using Dimethylethylamine Alane and Ammonia , 1998 .

[662]  F. Prinz,et al.  Area-Selective Atomic Layer Deposition Using Self-Assembled Monolayer and Scanning Probe Lithography , 2009 .

[663]  Kang L. Wang,et al.  Optical properties of Y2O3 thin films doped with spatially controlled Er3+ by atomic layer deposition , 2007 .

[664]  B. Moghtaderi,et al.  Combustion Prevention of Iron Powders by a Novel Coating Method , 2006 .

[665]  Rajaram Bhat,et al.  Atomic layer epitaxy of device quality GaAs , 1989 .

[666]  K. Nishi,et al.  In situ optical characterization of GaAs surfaces under alternating supply of GaCl and AsH3 , 1992 .

[667]  H. Zhang,et al.  High permittivity thin film nanolaminates , 2000 .

[668]  H. Fjellvåg,et al.  Simulation of growth dynamics in atomic layer deposition. Part II. Polycrystalline films from cubic crystallites , 2007 .

[669]  P. Li,et al.  Low‐Temperature Atomic Layer‐Deposited TiO2 Films with Low Photoactivity , 2009 .

[670]  M. Ritala,et al.  Atomic Layer Deposition of SrTiO3 Thin Films from a Novel Strontium Precursor–Strontium‐bis(tri‐isopropyl cyclopentadienyl) , 2001 .

[671]  J. Roh,et al.  Electrical Properties in High-k HfO2 Capacitors with an Equivalent Oxide Thickness of 9 Å on Ru Metal Electrode , 2005 .

[672]  Y. Aoyagi,et al.  Atomic layer epitaxy of AlAs and AlGaAs , 1990 .

[673]  S. Guo,et al.  The structure and strain relief in epitaxially grown CdSe on ZnSe by atomic layer epitaxy , 1996 .

[674]  K. Huang,et al.  GROWTH PROCESS OF GE ON SI(100)-(2X1) IN ATOMIC-LAYER EPITAXY FROM GE2H6 , 1997 .

[675]  Jaan Aarik,et al.  Atomic layer deposition of titanium dioxide from TiCl4 and H2O: investigation of growth mechanism , 2001 .

[676]  M. Asif Khan,et al.  Atomic layer epitaxy of GaN over sapphire using switched metalorganic chemical vapor deposition , 1992 .

[677]  Wilfried Vandervorst,et al.  Island growth in the atomic layer deposition of zirconium oxide and aluminum oxide on hydrogen-terminated silicon: Growth mode modeling and transmission electron microscopy , 2004 .

[678]  V. Misra,et al.  Atomic Layer Deposition of Hafnium Dioxide on TiN and Self-Assembled Monolayer Molecular Film , 2009 .

[679]  Satoshi Kobayashi,et al.  Atomic layer epitaxy growth of ZnS on (100)GaAs using molecular beam epitaxy system , 1995 .

[680]  Brian G. Willis,et al.  Nanometer spaced electrodes using selective area atomic layer deposition , 2007 .

[681]  J. Roh,et al.  Interfacial layer properties of HfO2 films formed by plasma-enhanced atomic layer deposition on silicon , 2006 .

[682]  J. Hupp,et al.  Atomic Layer Deposition of In2O3 Using Cyclopentadienyl Indium: A New Synthetic Route to Transparent Conducting Oxide Films , 2006 .

[683]  M. Ritala,et al.  Aging of electroluminescent ZnS:Mn thin films deposited by atomic layer deposition processes , 2005 .

[684]  Paul C. McIntyre,et al.  Bilayer metal oxide gate insulators for scaled Ge-channel metal-oxide-semiconductor devices , 2010 .

[685]  Mikko Ritala,et al.  Comparison of hafnium oxide films grown by atomic layer deposition from iodide and chloride precursors , 2002 .

[686]  William J. Potscavage,et al.  Low-voltage InGaZnO thin-film transistors with Al2O3 gate insulator grown by atomic layer deposition , 2009 .

[687]  M. Leskelä,et al.  Crystal Structure of mu4-Oxo-hexakis(mu-acetato)tetrazinc and Thermal Studies of its Precursor, Zinc Acetate Dihydrate. , 1987 .

[688]  Gwo-Ching Wang,et al.  Plasma‐Enhanced Atomic Layer Deposition of Palladium on a Polymer Substrate , 2007 .

[689]  Yu. K. Ezhovskii,et al.  Fabrication and Dielectric Properties of Multilayer Ta2O5/Al2O3 Nanostructures , 2003 .

[690]  M. Shiojiri,et al.  Stimulated Emission in Highly (0001)-Oriented ZnO Films Grown by Atomic Layer Deposition on the Amorphous Glass Substrates , 2010 .

[691]  T. Yasuda,et al.  Structure and composition of the ZnSe(001) surface during atomic-layer epitaxy , 1999 .

[692]  S. Kamiyama,et al.  Impact of O3 concentration on ultrathin HfO2 films deposited on HF-cleaned silicon using atomic layer deposition with Hf[N(CH3)(C2H5)]4 , 2006 .

[693]  H. Hahn,et al.  Room-temperature growth of ZrO2 thin films using a novel hyperthermal oxygen-atom source , 1999 .

[694]  G. Jursich,et al.  Nitridation and oxynitridation of Si to control interfacial reaction with HfO2 , 2008 .

[695]  X-ray reflectometry and spectroscopic ellipsometry characterization of Al2O3 atomic layer deposition on HF-last and NH3 plasma pretreatment Si substrates , 2007 .

[696]  B. Sang,et al.  Highly Stable ZnO Thin Films by Atomic Layer Deposition. , 1998 .

[697]  M. Ozeki,et al.  Atomic layer epitaxy of AlAs: Growth mechanism , 1994 .

[698]  U. Gösele,et al.  Hierarchical Three-Dimensional ZnO and Their Shape-Preserving Transformation into Hollow ZnAl2O4 Nanostructures , 2008 .

[699]  M. Ishida,et al.  Characteristics of Metal–Oxide–Semiconductor Field-Effect Transistors with HfO2/SiO2/Si and HfO2/SiOxNy/Si Stack Structures Formed by Remote Plasma Technique , 2008 .

[700]  T. Karabacak,et al.  Atomic layer deposition of Pd on TaN for Cu electroless plating , 2005 .

[701]  Y. Kawamura,et al.  Fabrication of Multilayers with Growth Controlled by Sequential Surface Chemical Reactions , 1994 .

[702]  Olli Ikkala,et al.  Hollow Inorganic Nanospheres and Nanotubes with Tunable Wall Thicknesses by Atomic Layer Deposition on Self‐Assembled Polymeric Templates , 2007 .

[703]  G. Parsons,et al.  Surface texture and wetting stability of polydimethylsiloxane coated with aluminum oxide at low temperature by atomic layer deposition , 2010 .

[704]  A. Hårsta,et al.  Gas sensing properties of epitaxial SnO2 thin films prepared by atomic layer deposition , 2003 .

[705]  Han Gao,et al.  Atomic layer deposition of TiO2 nanostructures for self-cleaning applications , 2008, Nanotechnology.

[706]  Tobias Törndahl,et al.  Growth of copper metal by atomic layer deposition using copper(I) chloride, water and hydrogen as precursors , 2004 .

[707]  Jaan Aarik,et al.  Anomalous effect of temperature on atomic layer deposition of titanium dioxide , 2000 .

[708]  Characterisation of epitaxial TiO2 thin films grown on MgO(0 0 1) using atomic layer deposition , 2005 .

[709]  Electronic properties of ultrathin (HfO2)x(SiO2)1−x dielectrics on Si (100) , 2007 .

[710]  Jin-seong Park,et al.  Plasma-enhanced atomic layer deposition of tantalum nitrides using hydrogen radicals as a reducing agent , 2001 .

[711]  Sylvain Maitrejean,et al.  Investigations of titanium nitride as metal gate material, elaborated by metal organic atomic layer deposition using TDMAT and NH3 , 2005 .

[712]  H. Kumagai,et al.  Novel TiO2/ZnO multilayer mirrors at ‘water-window’ wavelengths fabricated by atomic layer epitaxy , 2010, Journal of physics. Condensed matter : an Institute of Physics journal.

[713]  N. Dimitrijević,et al.  Iron(III)-oxo Centers on TiO2 for Visible-Light Photocatalysis , 2010 .

[714]  E. Tois,et al.  HfSiO4 dielectric layers deposited by ALD using HfCl4 and NH2(CH2)3Si(OC2H 5)3 precursors , 2004 .

[715]  S. Romani,et al.  Liquid injection atomic layer deposition of silver nanoparticles , 2010, Nanotechnology.

[716]  Stephen A. Campbell,et al.  Atomic Layer Deposition, Characterization, and Dielectric Properties of HfO2/SiO2 Nanolaminates and Comparisons with Their Homogeneous Mixtures , 2006 .

[717]  R. Spontak,et al.  Atomic layer deposition on electrospun polymer fibers as a direct route to AL2O3 microtubes with precise wall thickness control. , 2007, Nano letters.

[718]  M. Esashi,et al.  Self-limiting growth of GaAs molecular layer epitaxy using triethyl-gallium (TEG) and AsH3 , 2001 .

[719]  Jeong Hwan Kim,et al.  Effects of carbon residue in atomic layer deposited HfO2 films on their time-dependent dielectric breakdown reliability , 2007 .

[720]  Jaan Aarik,et al.  Thickness profiles of thin films caused by secondary reactions in flow-type atomic layer deposition reactors , 1997 .

[721]  T. Tuomi,et al.  X-ray diffraction study of thin electroluminescent ZnS films grown by atomic layer epitaxy , 1981 .

[722]  H. Fjellvåg,et al.  Effect of substrate on the characteristics of manganese(IV) oxide thin films prepared by atomic layer deposition , 2004 .

[723]  U. Jansson,et al.  Atomic Layer Epitaxy of Tungsten Oxide Films Using Oxyfluorides as Metal Precursors , 1999 .

[724]  Tomohiro Yamashita,et al.  Low-Temperature Silicon Oxide Offset Spacer Using Plasma-Enhanced Atomic Layer Deposition for High-k/Metal Gate Transistor , 2009 .

[725]  C. Liu,et al.  Effect of Atomic Layer Epitaxy Growth Conditions on the Properties of ZnS Epilayers on (100)-Si Substrate , 1996 .

[726]  Yasuo Kimura,et al.  Atomic layer deposition of SiO2 from Tris(dimethylamino)silane and ozone by using temperature-controlled water vapor treatment , 2010 .

[727]  G. Pourtois,et al.  Interfaces of high-k dielectrics on GaAs: Their common features and the relationship with Fermi level pinning (Invited Paper) , 2009 .

[728]  T. Sekiguchi,et al.  Self-organized CdSe/ZnSe quantum dots on a ZnSe (1 1 1)A surface , 1998 .

[729]  Kjell Knapas In Situ Reaction Mechanism Studies on Atomic Layer Deposition , 2008 .

[730]  M. Pemble,et al.  Reflectance anisotropy as an in situ monitor for the growth of InP on (001) InP by pseudo-atmospheric pressure atomic layer epitaxy , 1997 .

[731]  S. George,et al.  Protecting polymers in space with atomic layer deposition coatings. , 2010, ACS applied materials & interfaces.

[732]  Y. Lee,et al.  Controlling preferred orientation of ZnO thin films by atomic layer deposition , 2004 .

[733]  M. Ritala,et al.  Growth of SrTiO3 and BaTiO3 Thin Films by Atomic Layer Deposition , 1999 .

[734]  A. Kaloyeros,et al.  Properties of ultrathin platinum deposited by atomic layer deposition for nanoscale copper-metallization schemes , 2007 .

[735]  David C. Morton,et al.  High-filling-fraction inverted ZnS opals fabricated by atomic layer deposition , 2003 .

[736]  R. Gordon,et al.  Diffusion barrier properties of tungsten nitride films grown by atomic layer deposition from bis(tert-butylimido)bis(dimethylamido)tungsten and ammonia , 2003 .

[737]  M. Konagai,et al.  Atomic layer epitaxy of ZnSe-ZnTe strained layer superlattices , 1989 .

[738]  D. Schmeißer,et al.  HfO2∕Si interface formation in atomic layer deposition films: An in situ investigation , 2009 .

[739]  Robert M. Wallace,et al.  GaAs interfacial self-cleaning by atomic layer deposition , 2008 .

[740]  H. Munekata,et al.  Mechanism of atomic layer epitaxy of AlAs , 1998 .

[741]  Clifford L. Henderson,et al.  Area selective atomic layer deposition of titanium dioxide : Effect of precursor chemistry , 2006 .

[742]  David A. Muller,et al.  Correlation of annealing effects on local electronic structure and macroscopic electrical properties for HfO2 deposited by atomic layer deposition , 2003 .

[743]  Se‐Hun Kwon,et al.  Plasma-Enhanced Atomic Layer Deposition of Ruthenium Thin Films , 2004 .

[744]  D. Lincot,et al.  Synthesis of ZrO2 thin films by atomic layer deposition: growth kinetics, structural and electrical properties , 2002 .

[745]  L. Niinistö,et al.  Controlled growth of antimony-doped tin dioxide thin films by atomic layer epitaxy , 1994 .

[746]  A. Mane,et al.  Atomic layer chemical vapour deposition of copper , 2004 .

[747]  M. Cassir,et al.  Electrical properties of thin yttria-stabilized zirconia overlayers produced by atomic layer deposition for solid oxide fuel cell applications , 2007 .

[748]  E. Eisenbraun,et al.  Hydrogen plasma-enhanced atomic layer deposition of copper thin films , 2007 .

[749]  Harald Seidl,et al.  Crystallization behavior of thin ALD-Al2O3 films , 2003 .

[750]  F. Zaera The Surface Chemistry of Atomic Layer Depositions of Solid Thin Films. , 2012, The journal of physical chemistry letters.

[751]  Lesley M. Smith,et al.  MOCVD and ALD of High-k Dielectric Oxides Using Alkoxide Precursors† , 2006 .

[752]  A. Devi,et al.  Synthesis, characterization, and thermal properties of homoleptic rare-earth guanidinates: promising precursors for MOCVD and ALD of rare-earth oxide thin films. , 2008, Inorganic chemistry.

[753]  Steven M. George,et al.  Al3O3 thin film growth on Si(100) using binary reaction sequence chemistry , 1997 .

[754]  Steven M. George,et al.  Modification of Porous Alumina Membranes Using Al2O3 Atomic Layer Controlled Deposition , 1997 .

[755]  W. Besling,et al.  Diffusion Barrier Deposition on a Copper Surface by Atomic Layer Deposition , 2002 .

[756]  G. K. Hyde,et al.  Effect of Weave Geometry on Surface Energy Modification of Textile Materials via Atomic Layer Deposition , 2010 .

[757]  M. V. Hove,et al.  Interface characterization of nanoscale laminate structures on dense dielectric substrates by x-ray reflectivity , 2005 .

[758]  P. Jonnard,et al.  X-ray reflection spectroscopy of the HfO2/SiO2/Si system in the region of the O–K absorption edge , 2006 .

[759]  C. Hwang,et al.  Property Changes of Aluminum Oxide Thin Films Deposited by Atomic Layer Deposition under Photon Radiation , 2006 .

[760]  J. F. Conley,et al.  Atomic layer deposition of hafnium oxide using anhydrous hafnium nitrate , 2002 .

[761]  J. Nishizawa,et al.  Self-limiting growth conditions on (001) InP by alternate triethylindium and tertiarybutylphosphine supply in ultrahigh vacuum , 1999 .

[762]  M. Leskelä,et al.  Zinc sulphide thin films doped with rare earth ions , 1986 .

[763]  A. A. Tulub,et al.  Synthesis of oxide superalloys by ML-ALE method , 1994 .

[764]  Yoshio Nishi,et al.  DNA functionalization of carbon nanotubes for ultrathin atomic layer deposition of high kappa dielectrics for nanotube transistors with 60 mV/decade switching. , 2006, Journal of the American Chemical Society.

[765]  C. Hwang,et al.  Improvements in Reliability and Leakage Current Properties of HfO2 Gate Dielectric Films by In Situ O 3 Oxidation of Si Substrate , 2004 .

[766]  S. George,et al.  Atomic layer deposition of iron(III) oxide on zirconia nanoparticles in a fluidized bed reactor using ferrocene and oxygen , 2009 .

[767]  K. Kukli,et al.  Atomic layer deposition of TiO2 thin films from TiI4 and H2O , 2002 .

[768]  Sang Jik Kwon,et al.  Effect of Precursor-Pulse on Properties of Al-Doped ZnO Films Grown by Atomic Layer Deposition , 2005 .

[769]  H. Brongersma,et al.  Surface characteristics and activity of chromia/alumina catalysts prepared by atomic layer epitaxy , 1996 .

[770]  Phillip E. Thompson,et al.  Characterization of molecular beam epitaxially grown InSb layers and diode structures , 1993 .

[771]  N. Kobayashi,et al.  Growth-Rate Self-Limitation Mechanism in InP Atomic Layer Epitaxy Studied by Surface Photo-Absorption , 1992 .

[772]  M. Eizenberg,et al.  Effects of thermal treatment on structures of Cu/atomic-layer-deposited TaN films/Si stacks , 2006 .

[773]  U. Woggon,et al.  Growth of ZnSe(1−x)Tex epilayers by isothermal closed space sublimation , 2010 .

[774]  Yi-hong Wu,et al.  Atomic Layer Epitaxy of ZnS on GaAs Substrates by Metalorganic Molecular Beam Epitaxy , 1990 .

[775]  Paul K. Hurley,et al.  In situ H2S passivation of In0.53Ga0.47As∕InP metal-oxide-semiconductor capacitors with atomic-layer deposited HfO2 gate dielectric , 2008 .

[776]  Mikko Ritala,et al.  Effect of water dose on the atomic layer deposition rate of oxide thin films , 2000 .

[777]  Yueming Hua,et al.  Nanopatterning materials using area selective atomic layer deposition in conjunction with thermochemical surface modification via heated AFM cantilever probe lithography , 2008 .

[778]  R. Gordon,et al.  Atomic layer deposition of lanthanum aluminum oxide nano-laminates for electrical applications , 2004 .

[779]  M. Ritala,et al.  Low temperature deposition of AIN films by an alternate supply of trimethyl aluminum and ammonia , 1996 .

[780]  Wei Gu,et al.  Role of water in the atomic layer deposition of TiO(2) on SiO(2). , 2005, Langmuir : the ACS journal of surfaces and colloids.

[781]  M. Matsumura,et al.  New substances for atomic-layer deposition of silicon dioxide , 1995 .

[782]  H. Akinaga,et al.  Atomic layer epitaxy of ZnSe using reflectance difference spectroscopy , 1994 .

[783]  L. Niinistö,et al.  Atomic layer epitaxy growth of LaGaO3 thin films , 2001 .

[784]  Sung Kyu Park,et al.  ZnO Thin-Film Transistor Ring Oscillators with 31-ns Propagation Delay , 2008, IEEE Electron Device Letters.

[785]  Marianna Kemell,et al.  Coating of Highly Porous Fiber Matrices by Atomic Layer Deposition , 2008 .

[786]  S. Namba,et al.  Surface processes in laser-atomic layer epitaxy (laser-ALE) of GaAs , 1988 .

[787]  T. Nakamura,et al.  Preparation of ZrO2 Nano-Films by an Alternate Reaction Using ZrCl4 and O 2 under Atmospheric Pressure , 2002 .

[788]  S. George,et al.  Atomic Layer Growth of SiO2 on Si(100) using SiCl4 and H2O in a Binary Reaction Sequence , 1995, Microphysics of Surfaces Nanoscale Processing.

[789]  Yangdo Kim,et al.  ZrO2 Gate Dielectric Deposited by Plasma-Enhanced Atomic Layer Deposition Method , 2002 .

[790]  Jaegab Lee,et al.  Enhanced Chemical Vapor Deposition of Pt Films on UV-exposed TiO2 Surfaces , 2009 .

[791]  Steven M. George,et al.  Atomic layer deposition of tungsten using sequential surface chemistry with a sacrificial stripping reaction , 2000 .

[792]  Hsing-Huang Tseng,et al.  Chemical analysis of HfO2∕Si (100) film systems exposed to NH3 thermal processing , 2007 .

[793]  N. Huby,et al.  ZnO grown by atomic layer deposition: A material for transparent electronics and organic heterojunctions , 2009 .

[794]  M. Ritala,et al.  Atomic Layer Deposition of Titanium Nitride Thin Films Using tert-Butylamine and Allylamine as Reductive Nitrogen Sources , 2002 .

[795]  M. Putkonen,et al.  Magnesium aluminate thin films by atomic layer deposition from organometallic precursors and water , 2004 .

[796]  M. Leskelä,et al.  Growth and characterization of aluminium oxide thin films deposited from various source materials by atomic layer epitaxy and chemical vapor deposition processes , 1991 .

[797]  A. Hårsta,et al.  Growth of SnO2 thin films by atomic layer deposition and chemical vapour deposition: A comparative study , 2006 .

[798]  Joo Hyun Park,et al.  Investigation of the effects of interface carrier concentration on ZnO thin film transistors fabricated by atomic layer deposition , 2009 .

[799]  Daniel Lincot,et al.  High‐efficiency copper indium gallium diselenide (CIGS) solar cells with indium sulfide buffer layers deposited by atomic layer chemical vapor deposition (ALCVD) , 2003 .

[800]  G. Michler,et al.  Nanostructured Pure Anatase Titania Tubes Replicated from Electrospun Polymer Fiber Templates by Atomic Layer Deposition , 2008 .

[801]  M. Konagai,et al.  Self-limiting growth with 0.5 monolayer per cycle in atomic layer epitaxy of ZnTe , 1992 .

[802]  R. Arès,et al.  Trisneopentylgallium as a precursor for atomic layer epitaxy of GaAs , 1997 .

[803]  H. Fjellvåg,et al.  Growth of Fe2O3 thin films by atomic layer deposition , 2005 .

[804]  M. Asif Khan,et al.  High-responsivity photoconductive ultraviolet sensors based on insulating single-crystal GaN epilayers , 1992 .

[805]  Cheol Seong Hwang,et al.  Atomic-layer-deposited Al2O3 thin films with thin SiO2 layers grown by in situ O3 oxidation , 2004 .

[806]  H. Akazawa Evaluation of thin Si films grown on Ge(1 0 0) by synchrotron-radiation-excited atomic layer epitaxy and chemical vapor deposition from Si2H6 , 1997 .

[807]  B. Lee,et al.  Low-temperature atomic layer deposition of copper metal thin films: self-limiting surface reaction of copper dimethylamino-2-propoxide with diethylzinc. , 2009, Angewandte Chemie.

[808]  M.-T. Ho,et al.  Ammonia pretreatment for high-κ dielectric growth on silicon , 2004 .

[809]  Roy G. Gordon,et al.  Atomic Layer Deposition of Y2O3 Thin Films from Yttrium Tris(N,N‘-diisopropylacetamidinate) and Water , 2005 .

[810]  D. Dixon,et al.  Tetrakis(dimethylamido)hafnium Adsorption and Reaction on Hydrogen Terminated Si(100) Surfaces , 2010 .

[811]  M. Ritala,et al.  In situ quadrupole mass spectrometry study of atomic-layer deposition of ZrO2 using Cp2Zr(CH3)2 and water. , 2005, Langmuir : the ACS journal of surfaces and colloids.

[812]  S. Haukka,et al.  Alloying in Cu/Pd Nanoparticle Catalysts , 1998 .

[813]  A. Hårsta,et al.  Deposition of HfO2 thin films in HfI4-based processes , 2002 .

[814]  Deok-Soo Kim,et al.  Study on the characteristics of TiN thin film deposited by the atomic layer chemical vapor deposition method , 2000 .

[815]  R. Li,et al.  Non-Aqueous Approach to Synthesize Amorphous/Crystalline Metal Oxide-Graphene Nanosheet Hybrid Composites , 2010 .

[816]  D. Cameron,et al.  Titanium dioxide thin films, their structure and its effect on their photoactivity and photocatalytic properties , 2009 .

[817]  R. Spontak,et al.  Bi-directional Kirkendall effect in coaxial microtube nanolaminate assemblies fabricated by atomic layer deposition. , 2009, ACS nano.

[818]  Y. Aoyagi,et al.  Laser-assisted atomic layer epitaxy , 1991 .

[819]  Roy G. Gordon,et al.  Highly conformal atomic layer deposition of tantalum oxide using alkylamide precursors , 2003 .

[820]  B. Hintze,et al.  Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films , 2009 .

[821]  A. A. Malygin,et al.  Phase transformations in titanium dioxide thin films during chemical synthesis under strongly nonequilibrium conditions , 2009 .

[822]  M. Ritala,et al.  Growth of In2 O 3 Thin Films by Atomic Layer Epitaxy , 1994 .

[823]  H. Nagasawa,et al.  Atomic level epitaxy of 3C-SiC by low pressure vapour deposition with alternating gas supply , 1993 .

[824]  Jin-seong Park,et al.  High tunability (Ba, Sr)TiO3 thin films grown on atomic layer deposited TiO2 and Ta2O5 buffer layers , 2004 .

[825]  Mato Knez,et al.  Synthesis and Surface Engineering of Complex Nanostructures by Atomic Layer Deposition , 2007 .

[826]  A. Nakajima,et al.  Atomic-layer deposition of ZrO2 with a Si nitride barrier layer , 2002 .

[827]  K. Yong,et al.  Atomic layer chemical vapor deposition and electrical characterization of hafnium silicate films , 2005 .

[828]  J. Ha,et al.  Effect of gate dielectrics on the device performance of SnO2 nanowire field effect transistors , 2010 .

[829]  M. Konagai,et al.  High-Efficiency Amorphous Silicon Solar Cells with ZnO as Front Contact , 1999 .

[830]  C. Leroux,et al.  Electrical and physico-chemical characterization of HfO2/SiO2 gate oxide stacks prepared by atomic layer deposition , 2003 .

[831]  C. Guimon,et al.  Surface-Controlled Gas-Phase Deposition and Characterization of Highly Dispersed Vanadia on Silica , 2003 .

[832]  S. George,et al.  In situ examination of tin oxide atomic layer deposition using quartz crystal microbalance and Fourier transform infrared techniques , 2005 .

[833]  M. Ritala,et al.  ALE growth of ZnS1-xSex thin films by substituting surface sulfur with elemental selenium , 1997 .

[834]  K. Kukli,et al.  Structural and dielectric properties of thin ZrO2 films on silicon grown by atomic layer deposition from cyclopentadienyl precursor , 2004 .

[835]  M. Ritala,et al.  Tert-butylamine and Allylamine as Reductive Nitrogen Sources in Atomic Layer Deposition of TaN Thin Films , 2002 .

[836]  Jung-Hee Lee,et al.  Effectiveness of Self-Carbon and Titanium Capping Layers in NiSi formation with Ni Film Deposited by Atomic Layer Deposition , 2007 .

[837]  Roy G. Gordon,et al.  Surface morphology and crystallinity control in the atomic layer deposition (ALD) of hafnium and zirconium oxide thin films , 2003 .

[838]  T. Gougousi,et al.  Growth and interface of HfO2 films on H-terminated Si from a TDMAH and H2O atomic layer deposition process , 2008 .

[839]  H. Brongersma,et al.  A mini-ALE attachment to UHV surface analysis equipment , 1996 .

[840]  U. Gösele,et al.  Transmission electron microscopy in situ fabrication of ZnO/Al2O3 composite nanotubes by electron-beam-irradiation-induced local etching of ZnO/Al2O3 core/shell nanowires. , 2008, Small.

[841]  I. Suemune,et al.  Atomic layer epitaxy of GaAs and role of As‐source materials on self‐limiting mechanism , 1992 .

[842]  E. Guziewicz,et al.  Monocrystalline zinc oxide films grown by atomic layer deposition , 2010 .

[843]  M. Ritala,et al.  Reaction mechanism studies on titanium isopropoxide-water atomic layer deposition process , 2002 .

[844]  M. García-Rocha,et al.  Optical Properties of CdTe/ZnTe Ultrathin Quantum Wells Grown by Atomic Layer Epitaxy , 2002 .

[845]  V. Misra,et al.  Large area nanorings fabricated using an atomic layer deposition Al2O3 spacer for magnetic random access memory application , 2008, Nanotechnology.

[846]  J. Carlsson,et al.  Growth and stability of CVD Ni3N and ALD NiO dual layers , 2010 .

[847]  H. Jeon,et al.  Characteristics of cobalt thin films deposited by remote plasma ALD method with dicobalt octacarbonyl , 2007 .

[848]  R. Odedra,et al.  Growth of HfO2 by liquid injection MOCVD and ALD using new hafnium-cyclopentadienyl precursors , 2007 .

[849]  Kai-Erik Elers,et al.  Film Uniformity in Atomic Layer Deposition , 2006 .

[850]  R. Gordon,et al.  Thin, Continuous, and Conformal Copper Films by Reduction of Atomic Layer Deposited Copper Nitride† , 2006 .

[851]  J. Elam,et al.  Atomic Layer Deposition of Aluminum Oxide in Mesoporous Silica Gel , 2010 .

[852]  P. Dapkus,et al.  Surface reactions in the atomic layer epitaxy of GaAs using monoethylarsine , 1991 .

[853]  J. Hartmann,et al.  Growth of CdTe/MnTe tilted and serpentine lattices on vicinal surfaces , 1997 .

[854]  H. Baumgart,et al.  Atomic Layer Deposition of ZrO2 and HfO2 Nanotubes by Template Replication , 2009 .

[855]  K. Kukli,et al.  In situ characterization of atomic layer deposition processes by a mass spectrometer , 1999 .

[856]  H. Grampeix,et al.  CMOS compatible strategy based on selective atomic layer deposition of a hard mask for transferring block copolymer lithography patterns , 2010, Nanotechnology.

[857]  M. Willinger,et al.  NON-AQUEOUS SOL-GEL ROUTES APPLIED TO ATOMIC LAYER DEPOSITION , 2008 .

[858]  R. Gordon,et al.  Low Temperature Atomic Layer Deposition of Tin Oxide , 2010 .

[859]  P. Dapkus,et al.  RHEED and XPS observations of trimethylgallium adsorption on GaAs (001) surfaces—Relevance to atomic layer epitaxy , 1990 .

[860]  Fritz B. Prinz,et al.  Atomic layer deposition of yttria-stabilized zirconia for solid oxide fuel cells , 2007 .

[861]  J. W. Rogers,et al.  Molecularly engineered low temperature atomic layer growth of aluminum nitride on Si(100) , 1999 .

[862]  Mato Knez,et al.  Greatly Increased Toughness of Infiltrated Spider Silk , 2009, Science.

[863]  H. Schock,et al.  Indium-Based Interface Chemical Engineering by Electrochemistry and Atomic Layer Deposition for Copper Indium Diselenide Solar Cells , 2001 .

[864]  Koichi Toyoda,et al.  Fabrication of titanium oxide thin films by controlled growth with sequential surface chemical reactions , 1995 .

[865]  G. Beyer,et al.  Growth mechanism and continuity of atomic layer deposited TiN films on thermal SiO2 , 2002 .

[866]  M. Eizenberg,et al.  FTIR and ellipsometry characterization of ultra-thin ALD TaN films , 2007 .

[867]  Salah M. Bedair,et al.  Growth and characterization of compound semiconductors by atomic layer epitaxy , 1986 .

[868]  S. George,et al.  Atomic layer deposition on gram quantities of multi-walled carbon nanotubes , 2009, Nanotechnology.

[869]  P. McIntyre,et al.  Size-dependent polymorphism in HfO2 nanotubes and nanoscale thin films , 2009 .

[870]  Ki-Young Oh,et al.  Effects of ozone as an oxygen source on the properties of the Al2O3 thin films prepared by atomic layer deposition , 2003 .

[871]  M. Bedzyk,et al.  Direct atomic-scale observation of redox-induced cation dynamics in an oxide-supported monolayer catalyst: WO(x)/alpha-Fe(2)O(3)(0001). , 2009, Journal of the American Chemical Society.

[872]  J. Carlsson,et al.  Laser-Assisted Atomic Layer Deposition of Boron Nitride Thin Films , 2005 .

[873]  M. Ritala,et al.  Ir/Oxide/Cellulose Composites for Catalytic Purposes Prepared by Atomic Layer Deposition , 2006 .

[874]  K. Kukli,et al.  Properties of HfO2 Thin Films Grown by ALD from Hafnium tetrakis(ethylmethylamide) and Water , 2004 .

[875]  F. Prinz,et al.  Application of Atomic Layer Deposition of Platinum to Solid Oxide Fuel Cells , 2008 .

[876]  Hao Shen,et al.  Ordered iron oxide nanotube arrays of controlled geometry and tunable magnetism by atomic layer deposition. , 2007, Journal of the American Chemical Society.

[877]  R. Solanki,et al.  Atomic Layer Deposition of Copper Seed Layers , 1999 .

[878]  Y. Lee,et al.  Atomic layer deposited protective coatings for micro-electromechanical systems , 2003 .

[879]  A. Krause,et al.  Cobalt(III) Acetylacetonate Chemisorbed on Aluminum-Nitride-Modified Silica: Characteristics and Hydroformylation Activity , 2002 .

[880]  S. George,et al.  Infrared spectroscopic study of atomic layer deposition mechanism for hafnium silicate thin films using HfCl2[N(SiMe3)2]2 and H2O , 2004 .

[881]  S. George,et al.  Nucleation period, surface roughness, and oscillations in mass gain per cycle during W atomic layer deposition on Al2O3 , 2009 .

[882]  Seokhoon Kim,et al.  Characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition using O2 plasma and N2O plasma , 2006 .

[883]  Yung-Hsien Wu,et al.  Structure and property changes of ZrO2/Al2O3/ZrO2 laminate induced by low-temperature NH3 annealing applicable to metal–insulator–metal capacitor , 2010 .

[884]  S. Rhee,et al.  Chemical Reaction Mechanism in the Atomic Layer Deposition of TaC x N y Films Using t e r t-Butylimidotris(diethylamido)tantalum , 2010 .

[885]  Electron Probe Microanalysis of HfO2 Thin Films on Conductive and Insulating Substrates , 2006 .

[886]  E. Guziewicz,et al.  Atomic layer deposition of thin films of ZnSe—structural and optical characterization , 2004 .

[887]  A. Tiwari,et al.  Microstructural and chemical studies of interfaces between Cu(In,Ga)Se2 and In2S3 layers , 2005 .

[888]  S. Roy,et al.  Synthesis of stable nanocrystalline cubic zirconia , 2000 .

[889]  O. Ueda,et al.  Control of GaAs on Si Interface Using Atomic Layer Epitaxy , 1990 .

[890]  Zhong Lin Wang,et al.  Biotemplated hierarchical nanostructure of layered double hydroxides with improved photocatalysis performance. , 2009, ACS nano.

[891]  Y. J. Kim,et al.  Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten , 2003 .

[892]  H. Kattelus,et al.  Thin film absorbers for visible, near-infrared, and short-wavelength infrared spectra , 2009 .

[893]  W. Lanford,et al.  Plasma‐Assisted Atomic Layer Deposition of Palladium , 2005 .

[894]  T. Tuomi,et al.  X-ray diffraction study of microstructure in ZnS thin films grown from zinc acetate by atomic layer epitaxy , 1985 .

[895]  H. Chung,et al.  Plasma-Enhanced Atomic Layer Deposition of TaN Thin Films Using Tantalum-Pentafluoride and N2 ∕ H2 ∕ Ar Plasma , 2006 .

[896]  J. Kim,et al.  Evaluation of Zn{N[Si(CH3)3]2}2 as ap-type dopant in OMVPE growth of ZnSe , 1992 .

[897]  Imaging of atomic layer deposited (ALD) tungsten monolayers on alpha-TiO2(110) by X-ray standing wave Fourier inversion. , 2006, The journal of physical chemistry. B.

[898]  K. Kukli,et al.  HfO2 Films Grown by ALD Using Cyclopentadienyl-Type Precursors and H2O or O3 as Oxygen Source , 2006 .

[899]  J. Roh,et al.  Modified atomic layer deposition of RuO2 thin films for capacitor electrodes , 2007 .

[900]  B. E. White,et al.  Impact of Zr addition on properties of atomic layer deposited HfO2 , 2006 .

[901]  J. Park,et al.  A synthesis and sensing application of hollow ZnO nanofibers with uniform wall thicknesses grown using polymer templates , 2010, Nanotechnology.

[902]  B. Das,et al.  Alumina coating of ultrafine nanoporous gold at room temperature and their optical properties , 2009 .

[903]  Marianna Kemell,et al.  Investigation of ZrO2 – Gd2O3 Based High-k Materials as Capacitor Dielectrics , 2010 .

[904]  J. Jur,et al.  Temperature-dependent subsurface growth during atomic layer deposition on polypropylene and cellulose fibers. , 2010, Langmuir : the ACS journal of surfaces and colloids.

[905]  F. Prinz,et al.  Atomic layer deposition and chemical vapor deposition precursor selection method application to strontium and barium precursors. , 2007, The journal of physical chemistry. A.

[906]  K. Moore,et al.  Thermal stability, microstructure, and electrical properties of atomic layer deposited Hf6Ta2O17 gate dielectrics , 2007 .

[907]  H. Chang,et al.  Characteristics of Zinc-Oxide-Sulfide-Mixed Films Deposited by Using Atomic Layer Deposition , 2008 .

[908]  J. Aarik,et al.  Influence of substrate temperature on atomic layer growth and properties of HfO2 thin films , 1999 .

[909]  I. Povey,et al.  Atomic layer deposition for the fabrication of 3D photonic crystals structures: Growth of Al2O3 and VO2 photonic crystal systems , 2007 .

[910]  S. Dey,et al.  AFM studies of polycrystalline calcium sulfide thin films grown by atomic layer deposition , 1999 .

[911]  G. Jursich,et al.  Characterization of hafnium oxide grown on silicon by atomic layer deposition: Interface structure , 2006 .

[912]  N. Khánh,et al.  Porous silicon host matrix for deposition by atomic layer epitaxy , 1997 .

[913]  Sun Jin Yun,et al.  Dependence of atomic layer-deposited Al2O3 films characteristics on growth temperature and Al precursors of Al(CH3)3 and AlCl3 , 1997 .

[914]  H. Makino,et al.  Effects of surface pretreatment on growth of ZnO on glass substrate , 2008 .

[915]  M. Leskelä,et al.  Preparation of lead sulfide thin films by the atomic layer epitaxy process , 1990 .

[916]  R. E. Rawles,et al.  Novel method for chemical vapor deposition and atomic layer epitaxy using radical chemistry , 1993 .

[917]  M. Boman,et al.  Copper nanoparticles deposited inside the pores of anodized aluminium oxide using atomic layer deposition , 2003 .

[918]  A. Kitai,et al.  A novel atmospheric pressure technique for the deposition of ZnS by atomic layer epitaxy using dimethylzinc , 1988 .

[919]  Sun Jin Yun,et al.  PEALD of Zirconium Oxide Using Tetrakis(ethylmethylamino)zirconium and Oxygen , 2004 .

[920]  W. Knoll,et al.  Atomic Layer Deposition of TiO2 to Bond Free-Standing Nanoporous Alumina Templates to Gold-Coated Substrates as Planar Optical Waveguide Sensors , 2008 .

[921]  H. Akazawa Bistable Si growth conditions on Ge(100) in synchrotron-radiation-excited atomic layer epitaxy from SiH2Cl2 , 1997 .

[922]  David-Wei Zhang,et al.  Effect of chlorine residue on electrical performance of atomic layer deposited hafnium silicate , 2008 .

[923]  M. Shiojiri,et al.  Fabrication of ZnO Nanopillars by Atomic Layer Deposition , 2010 .

[924]  Steven M. George,et al.  Atomic Layer Deposition of Tungsten Nitride Films Using Sequential Surface Reactions , 2000 .

[925]  C. Shan,et al.  Improvement in corrosion resistance of CrN coated stainless steel by conformal TiO2 deposition , 2008 .

[926]  Jane P. Chang,et al.  Generation of oxide nanopatterns by combining self-assembly of S-layer proteins and area-selective atomic layer deposition. , 2008, Journal of the American Chemical Society.

[927]  Wmm Erwin Kessels,et al.  Plasma and thermal ALD of Al2O3 in a commercial 200 mm ALD reactor , 2007 .

[928]  Fred Roozeboom,et al.  Very low surface recombination velocities on p- and n-type c-Si by ultrafast spatial atomic layer deposition of aluminum oxide , 2010 .

[929]  Hyunchul Kim,et al.  Reduced Metal Contamination in Atomic-Layer-Deposited HfO2 Films Grown on Si Using O3 Oxidant Generated Without N2 Assistance , 2010 .

[930]  M. Godlewski,et al.  Monocrystalline ZnO Films on GaN/Al2O3 by Atomic Layer Epitaxy in Gas Flow , 2004 .

[931]  W. Han,et al.  Long-wavelength InAlGaAs VCSELs with Al2O3 embedded current-confinement apertures , 2006 .

[932]  N. Kobayashi,et al.  In situ monitoring and control of atomic layer epitaxy by surface photo-absorption , 1993 .

[933]  M. Putkonen,et al.  Crystallization of bismuth titanate and bismuth silicate grown as thin films by atomic layer deposition , 2006 .

[934]  N. Melosh,et al.  Identification and passivation of defects in self-assembled monolayers. , 2009, Langmuir : the ACS journal of surfaces and colloids.

[935]  Hei Wong,et al.  Single Band Electronic Conduction in Hafnium Oxide Prepared by Atomic Layer Deposition , 2005, 2005 IEEE Conference on Electron Devices and Solid-State Circuits.

[936]  M. Ritala,et al.  Study of a novel ALD process for depositing MgF2 thin films , 2007 .

[937]  Yangdo Kim,et al.  Barrier Characteristics of ZrN Films Deposited by Remote Plasma-Enhanced Atomic Layer Deposition Using Tetrakis(diethylamino)zirconium Precursor , 2007 .

[938]  Young Beom Kim,et al.  Surface modification of yttria-stabilized zirconia electrolyte by atomic layer deposition. , 2009, Nano letters.

[939]  Jung-Hee Lee,et al.  Effect of poly silicon thickness on the formation of Ni-FUSI gate by using atomic layer deposited nickel film , 2010 .

[940]  D. King,et al.  Highly dispersed Pt nanoparticle catalyst prepared by atomic layer deposition , 2010 .

[941]  S. George,et al.  Atomic layer deposition of boron nitride using sequential exposures of BCl3 and NH3 , 2002 .

[942]  Sbs Stephan Heil,et al.  Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor , 2007 .

[943]  Moon J. Kim,et al.  Characteristics of high-k Al2O3 dielectric using ozone-based atomic layer deposition for dual-gated graphene devices , 2010 .

[944]  Miin-Jang Chen,et al.  Enhancement in the efficiency of light emission from silicon by a thin Al2O3 surface-passivating layer grown by atomic layer deposition at low temperature , 2007 .

[945]  Elton Graugnard,et al.  Infiltration and Inversion of Holographically Defined Polymer Photonic Crystal Templates by Atomic Layer Deposition , 2006 .

[946]  C. Wiemer,et al.  Chemical and structural properties of atomic layer deposited La2O3 films capped with a thin Al2O3 layer , 2009 .

[947]  P. Li,et al.  Atomic Layer Deposition of UV‐Absorbing ZnO Films on SiO2 and TiO2 Nanoparticles Using a Fluidized Bed Reactor , 2008 .

[948]  W. Maeng,et al.  Thermal and plasma-enhanced ALD of Ta and Ti oxide thin films from alkylamide precursors , 2006 .

[949]  Steven M. George,et al.  Thickness dependence of sensor response for CO gas sensing by tin oxide films grown using atomic layer deposition , 2008 .

[950]  Song-Yeu Tsai,et al.  Enhanced performance of dye-sensitized solar cells by an Al2O3 charge-recombination barrier formed by low-temperature atomic layer deposition , 2009 .

[951]  Deane Chandler-Horowitz,et al.  The relationship between local order, long range order, and sub-band-gap defects in hafnium oxide and hafnium silicate films , 2008 .

[952]  J. Nishizawa,et al.  Impurity doping in molecular layer epitaxy of GaAs and its application to ultrashallow sidewall tunnel junctions , 2004 .

[953]  H. Terao,et al.  GaAs growth by atomic layer epitaxy using diethylgalliumchloride , 1988 .

[954]  Jin-seong Park,et al.  Improvement of Morphological Stability of PEALD-Iridium Thin Films by Adopting Two-Step Annealing Process , 2008 .

[955]  A. Brzezinski,et al.  Complex three-dimensional conformal surfaces formed by atomic layer deposition: computation and experimental verification , 2009 .

[956]  M. Konagai,et al.  Growth of Transparent Conductive Oxide ZnO Films by Atomic Layer Deposition , 1996 .

[957]  Sukjoo Kim,et al.  Atomic Layer Deposition of Aluminum Oxide Thin Film on BaMgAl10O17:Eu2+ Phosphor , 2006 .

[958]  J. Jur,et al.  Surface and sub-surface reactions during low temperature aluminium oxide atomic layer deposition on fiber-forming polymers , 2010 .

[959]  Satoshi Kobayashi,et al.  Growth and characterization of CdS epilayers on (100)GaAs by atomic layer epitaxy , 1993 .

[960]  P. Dapkus,et al.  Reaction mechanisms of tertiarybutylarsine on GaAs (001) surfaces and its relevance to atomic layer epitaxy and chemical beam epitaxy , 1991 .

[961]  Effect of Process Pressure on Atomic Layer Deposition of Al2O3 , 2007 .

[962]  Jaan Aarik,et al.  Influence of carrier gas pressure and flow rate on atomic layer deposition of HfO2 and ZrO2 thin films , 2006 .

[963]  Hong Jiang,et al.  Annealing of Al2O3 thin films prepared by atomic layer deposition , 2007 .

[964]  P. Hurley,et al.  Origin and passivation of fixed charge in atomic layer deposited aluminum oxide gate insulators on chemically treated InGaAs substrates , 2010 .

[965]  M. Perego,et al.  XPS and IPE analysis of HfO2 band alignment with high-mobility semiconductors , 2008 .

[966]  R. Wolters,et al.  Atomic Layer Deposition of W1.5N Barrier Films for Cu Metallization: Process and Characterization , 2005 .

[967]  D. Theis,et al.  Cross-sectional transmission electron microscopy of electroluminescent thin films fabricated by various deposition methods , 1983 .

[968]  Elton Graugnard,et al.  Atomic layer deposition in porous structures: 3D photonic crystals , 2005 .

[969]  M. Deura,et al.  Dimethylamine as a Carbon Remover in Atomic Layer Epitaxy of AlAs , 1995 .

[970]  Tatzuo Ueki,et al.  Atomic layer epitaxy of AlP and its application to X-ray multilayer mirror , 1997 .

[971]  C. O. Chui,et al.  HfO2 gate dielectric on (NH4)2S passivated (100) GaAs grown by atomic layer deposition , 2008 .

[972]  M. Putkonen,et al.  Atomic layer deposition and post-deposition annealing of PbTiO3 thin films , 2006 .

[973]  A. Kitai,et al.  Temperature-dependence of the growth orientation of atomic layer growth MgO , 1992 .

[974]  S. Yun,et al.  Characteristics of TiO2 Films Prepared by ALD With and Without Plasma , 2004 .

[975]  A. Usui Atomic layer epitaxy of III-V compounds: chemistry and applications , 1992, Proc. IEEE.

[976]  Aapo Varpula,et al.  Atomic layer deposition of tin dioxide sensing film in microhotplate gas sensors , 2010 .

[977]  A. Ott,et al.  Atomic layer-controlled growth of transparent conducting ZnO on plastic substrates , 1999 .

[978]  Y. Chabal,et al.  Infrared characterization of hafnium oxide grown by atomic layer deposition using ozone as the oxygen precursor , 2007 .

[979]  Cheol Seong Hwang,et al.  Transformation of the Crystalline Structure of an ALD TiO2 Film on a Ru Electrode by O3 Pretreatment , 2006 .

[980]  R. Chang,et al.  Effect of electric field upon the ZnO growth on sapphire (0 0 0 1) by atomic layer epitaxy method , 2002 .

[981]  M. Ozeki,et al.  Growth of GaAs and AlAs thin films by a new atomic layer epitaxy technique , 1989 .

[982]  Giovanni Neri,et al.  Vanadium oxide sensing layer grown on carbon nanotubes by a new atomic layer deposition process. , 2008, Nano letters.

[983]  M. Karppinen,et al.  Comparison of some coating techniques to fabricate barrier layers on packaging materials , 2010 .

[984]  Seong-Jun Jeong,et al.  Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD , 2008 .

[985]  Adriana Szeghalmi,et al.  Atomic layer deposition of Al2O3 and TiO2 multilayers for applications as bandpass filters and antireflection coatings. , 2009, Applied optics.

[986]  Marco Fanciulli,et al.  Nondestructive diagnostics of high-κ dielectrics for advanced electronic devices , 2006 .

[987]  Oussama M. El-Kadri,et al.  A low valent metalorganic precursor for the growth of tungsten nitride thin films by atomic layer deposition , 2007 .

[988]  S. P. Tiwari,et al.  Low-voltage pentacene organic field-effect transistors with high-κ HfO2 gate dielectrics and high stability under bias stress , 2009 .

[989]  David-Wei Zhang,et al.  Mechanism of interfacial layer suppression after performing surface Al(CH3)3 pretreatment during atomic layer deposition of Al2O3 , 2006 .

[990]  K. Kukli,et al.  Atomic layer deposition of hafnium dioxide films using hafnium bis(2-butanolate)bis(1-methoxy-2-methyl-2-propanolate) and water , 2003 .

[991]  M. Ulla,et al.  Stability of cobalt supported on ZrO2 catalysts for methane combustion , 2002 .

[992]  Roger J. Narayan,et al.  Atomic layer deposition-based functionalization of materials for medical and environmental health applications , 2010, Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences.

[993]  N. Takahashi,et al.  In Situ Observation of Halogen-Transport Atomic Layer Epitaxy of GaAs in Inert Carrier Gas System , 1993 .

[994]  J. W. Rogers,et al.  Mechanism of nucleation and atomic layer growth of aluminum nitride on silicon , 1991 .

[995]  Se Stephen Potts,et al.  Bis(cyclopentadienyl) zirconium(IV) amides as possible precursors for low pressure CVD and plasma-enhanced ALD , 2010 .

[996]  M. Ritala,et al.  Atomic layer deposition in nanometer-level replication of cellulosic substances and preparation of photocatalytic TiO2/cellulose composites. , 2005, Journal of the American Chemical Society.

[997]  G. Jursich,et al.  ALD and Characterization of Aluminum Oxide Deposited on Si ( 100 ) using Tris(diethylamino) Aluminum and Water Vapor , 2006 .

[998]  C. Takoudis,et al.  Bis(diethylamino) silane as the silicon precursor in the atomic layer deposition of HfSiOx , 2008 .

[999]  James S. Harris,et al.  InGaAs metal-oxide-semiconductor capacitors with HfO2 gate dielectric grown by atomic-layer deposition , 2006 .

[1000]  Hsyi-En Cheng,et al.  Morphological and Photoelectrochemical Properties of ALD TiO2 Films , 2008 .

[1001]  D. Cameron,et al.  Influence of oxygen depletion layer on the properties of tin oxide gas-sensing films fabricated by atomic layer deposition , 2009 .

[1002]  R. Klie,et al.  Composition-structure-dielectric property of yttrium-doped hafnium oxide films deposited by atomic layer deposition , 2009 .

[1003]  Takafumi Yao,et al.  Dynamic Reflection High-Energy Electron Diffraction Observations of the Atomic Layer Epitaxy Growth of Zn Chaleogenides , 1986 .

[1004]  M. Ritala,et al.  Titanium isopropoxide as a precursor in atomic layer epitaxy of titanium dioxide thin films , 1993 .

[1005]  K. Kukli,et al.  Controlled Growth of Yttrium Oxysulphide Thin Films by Atomic Layer Deposition , 1999 .

[1006]  K. Chung,et al.  Interfacial reaction of atomic-layer-deposited HfO2 film as a function of the surface state of an n-GaAs (100) substrate , 2008 .

[1007]  G. Tallarida,et al.  Effects of growth temperature on the properties of atomic layer deposition grown ZrO2 films , 2003 .

[1008]  C. Creemers,et al.  Growth of iron oxide on yttria-stabilized zirconia by atomic layer deposition , 2002 .

[1009]  Takashi Meguro,et al.  Crystallographic selective growth of GaAs by atomic layer epitaxy , 1993 .

[1010]  S. Stemmer,et al.  Scanning transmission electron microscopy of gate stacks with HfO2 dielectrics and TiN electrodes , 2005 .

[1011]  M. Ulla,et al.  Cobalt-containing catalysts for the high-temperature combustion of methane , 2000 .

[1012]  Adriana Szeghalmi,et al.  Theoretical and Experimental Analysis of the Sensitivity of Guided Mode Resonance Sensors , 2010 .

[1013]  M. C. Wheeler,et al.  Synthesis and characterization of atomic layer deposited titanium nitride thin films on lithium titanate spinel powder as a lithium-ion battery anode , 2007 .

[1014]  Chih-Chieh Wang,et al.  Preparation of Pt/SnO2 Core-Shell Nanowires with Enhanced Ethanol Gas- and Photon-Sensing Properties , 2010 .

[1015]  Y. Aoyagi,et al.  Surface reaction mechanism and morphology control in AlP atomic layer epitaxy , 1998 .

[1016]  S. George,et al.  Nucleation and growth during tungsten atomic layer deposition on SiO2 surfaces , 2001 .

[1017]  Junling Lu,et al.  Low-temperature ABC-type atomic layer deposition: synthesis of highly uniform ultrafine supported metal nanoparticles. , 2010, Angewandte Chemie.

[1018]  Sangsig Kim,et al.  Structural and optical properties of as-synthesized, Ga2O3-coated, and Al2O3-coated GaN nanowires , 2004 .

[1019]  A. Usui Study of self-limiting growth mechanism in chloride ALE , 1993 .

[1020]  G. Tallarida,et al.  Trends of structural and electrical properties in atomic layer deposited HfO2 films , 2004 .

[1021]  John Nijenhuis,et al.  Atmospheric Pressure Process for Coating Particles Using Atomic Layer Deposition , 2009 .

[1022]  M. Willinger,et al.  Micro-Raman investigation of vanadium-oxide coated tubular carbon nanofibers for gas-sensing applications , 2010 .

[1023]  Chunsheng Wang,et al.  Self-assembled Ni/TiO2 nanocomposite anodes synthesized via electroless plating and atomic layer deposition on biological scaffolds. , 2010, Chemical communications.

[1024]  J. Hoyt,et al.  Application of limited reaction processing to atomic layer epitaxy: Growth of cadmium telluride using diisopropyl telluride and dimethyl cadmium , 1994 .

[1025]  K. Kukli,et al.  Properties of (Nb1 − xTax)2O5 solid solutions and (Nb1 − xTax)2O5-ZrO2 nanolaminates grown by Atomic Layer Epitaxy , 1997 .

[1026]  A. Hårsta,et al.  Iodide-Based Atomic Layer Deposition of ZrO2 : Aspects of Phase Stability and Dielectric Properties , 2002 .

[1027]  H. Cho,et al.  Influence of active layer thickness and annealing in zinc oxide TFT grown by atomic layer deposition , 2010 .

[1028]  H. Lee,et al.  Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition , 2010 .

[1029]  K. Kukli,et al.  Atomic Layer Deposition of High-Permittivity Yttrium-Doped HfO2 Films , 2009 .

[1030]  Mato Knez,et al.  General assembly method for linear metal nanoparticle chains embedded in nanotubes. , 2008, Nano letters.

[1031]  I. Suemune Role of a metalorganic As source in atomic layer epitaxy of GaAs and AlAs , 1994 .

[1032]  David-Wei Zhang,et al.  Characterization of atomic-layer-deposited Al2O3∕GaAs interface improved by NH3 plasma pretreatment , 2006 .

[1033]  J. Talghader,et al.  Optical coatings in microscale channels by atomic layer deposition. , 2010, Applied optics.

[1034]  A. J. Frank,et al.  In2S3 Atomic Layer Deposition and Its Application as a Sensitizer on TiO2 Nanotube Arrays for Solar Energy Conversion , 2010 .

[1035]  Ki-Bum Kim,et al.  Selective incorporation of colloidal nanocrystals in nanopatterned SiO 2 layer for nanocrystal memory device , 2010 .

[1036]  M. A. Herman,et al.  Growth mechanism in atomic layer epitaxy (III) reevaporation of Cd and Te from CdTe (111) surfaces and thick elemental deposits monitored by quadrupole-mass spectrometry , 1988 .

[1037]  R. Gordon,et al.  Atomic layer deposition of transition metals , 2003, Nature materials.

[1038]  G. Jursich,et al.  Atomic layer deposition and characterization of hafnium oxide grown on silicon from tetrakis(diethylamino)hafnium and water vapor , 2004 .

[1039]  Phl Peter Notten,et al.  Atomic layer deposition for nanostructured Li-ion batteries , 2012 .

[1040]  Xiaodong Yang,et al.  Digital resonance tuning of high-Q/Vm silicon photonic crystal nanocavities by atomic layer deposition , 2007, 2008 Conference on Lasers and Electro-Optics and 2008 Conference on Quantum Electronics and Laser Science.

[1041]  J. J. Luo,et al.  Effects of Surface Treatments on Interfacial Self-Cleaning in Atomic Layer Deposition of Al2O3 on InSb , 2008 .

[1042]  M. Ritala,et al.  Use of atomic layer epitaxy for fabrication of Si/TiN/Cu structures , 1999 .

[1043]  Koichi Toyoda,et al.  Comparative Study of Al2O3 Optical Crystalline Thin Films Grown by Vapor Combinations of Al(CH3)3/N2O and Al(CH3)3/H2O2 , 1993 .

[1044]  K. Kukli,et al.  Properties of hafnium oxide films grown by atomic layer deposition from hafnium tetraiodide and oxygen , 2002 .

[1045]  H. Sitter,et al.  Atomic layer epitaxy of CdTe-ZnTe and CdTe-MnTe Superlattices , 1993 .

[1046]  James Jungho Pak,et al.  Driving characteristics of the electrowetting-on-dielectric device using atomic-layer-deposited aluminum oxide as the dielectric , 2010 .

[1047]  D. Gilmer,et al.  Properties of Oxide Film Atomic Layer Deposited from Tetraethoxy Silane, Hafnium Halides, and Water , 2004 .

[1048]  G. Rubloff,et al.  Real-time sensing and metrology for atomic layer deposition processes and manufacturing , 2007 .

[1049]  Evgeni P. Gusev,et al.  Microstructure and thermal stability of HfO2 gate dielectric deposited on Ge(100) , 2004 .

[1050]  J. Nishizawa,et al.  Molecular layer epitaxy of silicon , 1990 .

[1051]  M. Hersam,et al.  Nanoscale structure and morphology of atomic layer deposition platinum on SrTiO 3 (001) , 2009 .

[1052]  Y. Segawa,et al.  Photoluminescence and cathodoluminescence studies of ZnSe quantum structures embedded in ZnS , 1998 .

[1053]  Mikko Ritala,et al.  Reaction mechanism studies on atomic layer deposition of Nb2O5 from Nb(OEt)5 and water. , 2010, Langmuir : the ACS journal of surfaces and colloids.

[1054]  Jin-seong Park,et al.  The Effects of UV Exposure on Plasma-Enhanced Atomic Layer Deposition ZnO Thin Film Transistor , 2010 .

[1055]  S. Denbaars,et al.  The role of surface and gas phase reactions in atomic layer epitaxy , 1989 .

[1056]  Charles J. Wood,et al.  Novel Processing to Produce Polymer/Ceramic Nanocomposites by Atomic Layer Deposition , 2007 .

[1057]  J. Aarik,et al.  Optical characterization of HfO2 thin films grown by atomic layer deposition , 2004 .

[1058]  S. Franssila,et al.  Coating of nanoporous membranes: atomic layer deposition versus sputtering. , 2009, Journal of nanoscience and nanotechnology.

[1059]  M. Ozeki,et al.  A new GaAs on Si structure using AlAs buffer layers grown by atomic layer epitaxy , 1990 .

[1060]  T. Sajavaara,et al.  Analysis of ALD-processed thin films by ion-beam techniques , 2005, Analytical and bioanalytical chemistry.

[1061]  Composition-Dependent Structural and Electrical Properties of Zr x Ti y O2 Films Grown on RuO2 Substrate by ALD , 2009 .

[1062]  M. Ritala,et al.  NbCl5 as a precursor in atomic layer epitaxy , 1994 .

[1063]  K. Kukli,et al.  Analytical TEM characterization of the interfacial layer between ALD HfO2 film and silicon substrate , 2005 .

[1064]  K. Maex,et al.  Atomic layer deposited WNxCy films growth on SiC surfaces , 2006 .

[1065]  Jane P. Chang,et al.  Electrical performance of Al2O3 gate dielectric films deposited by atomic layer deposition on 4H-SiC , 2007 .

[1066]  R. Osgood,et al.  A near-edge X-ray absorption fine structure study of atomic layer epitaxy: the chemistry of the growth of CdS layers on ZnSe(100) , 1998 .

[1067]  D. Muller,et al.  Effects of Interfacial Organic Layers on Nucleation, Growth, and Morphological Evolution in Atomic Layer Thin Film Deposition , 2007 .

[1068]  A. Kellock,et al.  Growth of cubic-TaN thin films by plasma-enhanced atomic layer deposition , 2002 .

[1069]  Mikko Ritala,et al.  MeCp)Ir(CHD) and molecular oxygen as precursors in atomic layer deposition of iridium , 2010 .

[1070]  Steven M. George,et al.  Atomic Layer Deposition of Al 2 O 3 Films on Polyethylene Particles , 2004 .

[1071]  C. Hwang,et al.  Growth and Characterization of Conducting ZnO Thin Films by Atomic Layer Deposition , 2010 .

[1072]  G. Sandhu,et al.  Atomic Layer Deposition of Hexagonal-Phase Ta2O5 Using TaF5 and H2O , 2005 .

[1073]  S. George,et al.  X-ray mirrors on flexible polymer substrates fabricated by atomic layer deposition , 2007 .

[1074]  B. Davis,et al.  Sulfated zirconia catalysts. The crystal phases and their transformations , 1995 .

[1075]  M. Ritala,et al.  Self‐Assembled Octadecyltrimethoxysilane Monolayers Enabling Selective‐Area Atomic Layer Deposition of Iridium , 2006 .

[1076]  Woon-Seop Choi ALD-Grown ZnO Thin-Film Transistor with a Polymeric Dielectric , 2009 .

[1077]  Atomic layer deposition of Al2O3 thin films using dimethylaluminum isopropoxide and water , 2003 .

[1078]  T. Gougousi,et al.  Growth and Interface Evolution of HfO2 Films on GaAs(100) Surfaces , 2010 .

[1079]  S. Franssila,et al.  Investigation of sub-nm ALD aluminum oxide films by plasma assisted etch-through , 2008 .

[1080]  P. Dapkus,et al.  Steric hindrance effects in atomic layer epitaxy of InAs , 1989 .

[1081]  A. Auroux,et al.  Atomic layer deposition and surface characterization of highly dispersed titania/silica-supported vanadia catalysts , 2003 .

[1082]  Krishna C. Saraswat,et al.  Atomic layer deposition of ZrO2 on W for metal-insulator-metal capacitor application , 2003 .

[1083]  D. Lincot,et al.  Cadmium-free buffer layers deposited by atomic later epitaxy for copper indium diselenide solar cells , 2000 .

[1084]  Y. F. Loo,et al.  Deposition of HfO2, Gd2O3 and PrOx by Liquid Injection ALD Techniques† , 2005 .

[1085]  W. K. Chen,et al.  Metalorganic chemical vapor deposition of indium phosphide by pulsing precursors , 1989 .

[1086]  A. Weimer,et al.  Modification of interparticle forces for nanoparticles using atomic layer deposition , 2007 .

[1087]  T. Chiang,et al.  Atomistics of Ge deposition on Si(100) by atomic layer epitaxy. , 2003, Physical review letters.

[1088]  Stergios Logothetidis,et al.  Room temperature oxidation behavior of TiN thin films , 1999 .

[1089]  W. Maeng,et al.  Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants , 2006 .

[1090]  S. George,et al.  Gas phase reaction products during tungsten atomic layer deposition using WF6 and Si2H6 , 2004 .

[1091]  J. Thompson,et al.  Nonfluorinated volatile copper(I) 1,3-diketiminates as precursors for Cu metal deposition via atomic layer deposition. , 2006, Inorganic chemistry.

[1092]  C. Hwang,et al.  Atomic Layer Deposition of Ru Thin Films Using 2,4-(Dimethylpentadienyl)(ethylcyclopentadienyl)Ru by a Liquid Injection System , 2007 .

[1093]  R. Waser,et al.  Liquid-Injection Atomic Layer Deposition of TiO x and Pb–Ti–O Films , 2006 .

[1094]  J. Aarik,et al.  Morphology and structure of TiO2 thin films grown by atomic layer deposition , 1995 .

[1095]  T. Urisu,et al.  Self-Limiting Adsorption of SiCl 2 H 2 and Its Application to the Layer-by-Layer Photochemical Process , 1991 .

[1096]  M. Putkonen,et al.  Exploiting volatile lead compounds as precursors for the atomic layer deposition of lead dioxide thin films , 2006 .

[1097]  H. Ohno,et al.  Atomic layer epitaxy of GaAs using triethylgallium and arsine , 1989 .

[1098]  Evgeni P. Gusev,et al.  Ultrathin HfO 2 films grown on Silicon by atomic layer deposition for advanced gate dielectrics applications , 2003 .

[1099]  J. Renard,et al.  Photonic crystal thin films of GaAs prepared by atomic layer deposition , 2006 .

[1100]  T. Nakamura,et al.  Self-limiting growth of ZrO2 films on a Si(100) substrate using ZrCl4 and O2 under atmospheric pressure , 2003 .

[1101]  N. Dasgupta,et al.  Atomic Layer Deposition of Lead Sulfide Thin Films for Quantum Confinement , 2009 .

[1102]  Do‐Heyoung Kim,et al.  Highly Conductive HfN x Films Prepared by Plasma-Assisted Atomic Layer Deposition , 2006 .

[1103]  J. Nishizawa,et al.  Self-limiting growth of GaAs with doping by molecular layer epitaxy using triethyl-gallium and AsH3 , 2002 .

[1104]  H. Jeon,et al.  The effects of RF power on the interfacial property between Al2O3 and Si3N4 and on the memory property in a MANOS structure , 2010 .

[1105]  M. Ritala,et al.  Atomic Layer Epitaxy Growth of TiN Thin Films , 1995 .

[1106]  Alan W. Weimer,et al.  Atomic layer deposition of ultrathin and conformal Al2O3 films on BN particles , 2000 .

[1107]  Jane P. Chang,et al.  Controlled erbium incorporation and photoluminescence of Er-doped Y2O3 , 2005 .

[1108]  G. Pourtois,et al.  The Importance of Moisture Control for EOT Scaling of Hf-Based Dielectrics , 2009 .

[1109]  H. Kattelus,et al.  Electrical Properties of Tantalum Based Composite Oxide Films , 1992 .

[1110]  U. Gösele,et al.  Multitwinned spinel nanowires by assembly of nanobricks via oriented attachment: a case study of Zn2TiO4. , 2009, ACS nano.

[1111]  K. Kukli,et al.  ZrO2 Thin Films Grown on Silicon Substrates by Atomic Layer Deposition with Cp2Zr(CH3)2 and Water as Precursors , 2003 .

[1112]  Mikko Ritala,et al.  Properties of [Mg 2 (thd) 4 ] as a Precursor for Atomic Layer Deposition of MgO Thin Films and Crystal Structures of [Mg 2 (thd) 4 ] and [Mg(thd) 2 (EtOH) 2 ] , 1999 .

[1113]  Jin-seong Park,et al.  Atomic Layer Deposition ZnO:N Thin Film Transistor: The Effects of N Concentration on the Device Properties , 2010 .

[1114]  Seokhoon Kim,et al.  Remote Plasma Atomic Layer Deposition of HfO2 Thin Films Using the Alkoxide Precursor Hf ( mp ) 4 , 2006 .

[1115]  S. Bent,et al.  Controlling Atomic Layer Deposition of TiO2 in Aerogels through Surface Functionalization , 2009 .

[1116]  I. Suemune,et al.  Desorption properties of amine species during atomic layer epitaxy of GaAs using amino‐As , 1992 .

[1117]  H. Fjellvåg,et al.  Thin film deposition of lanthanum manganite perovskite by the ALE process , 1999 .

[1118]  D. Duquette,et al.  Direct Plating of Cu on Pd Plasma Enhanced Atomic Layer Deposition Coated TaN Barrier , 2007 .

[1119]  K. Kitahara,et al.  Reflection High-Energy Electron Diffraction of Heteroepitaxy in Chemical Vapor Deposition Reactor: Atomic-Layer Epitaxy of GaAs, AlAs and GaP on Si , 1993 .

[1120]  Lars Stolt,et al.  Zn(O,S) buffer layers by atomic layer deposition in Cu(In,Ga)Se2 based thin film solar cells: Band alignment and sulfur gradient , 2006 .

[1121]  D. King,et al.  Low-temperature atomic layer deposition of ZnO films on particles in a fluidized bed reactor , 2008 .

[1122]  Ki-Seok An,et al.  Preparation of Al 2 O 3 Thin Films by Atomic Layer Deposition Using Dimethylaluminum Isopropoxide and Water and Their Reaction Mechanisms , 2003 .

[1123]  M. Konagai,et al.  Preparation of boron-doped ZnO thin films by photo-atomic layer deposition , 2001 .

[1124]  A. Mane,et al.  Thin films of VO2 on glass by atomic layer deposition: microstructure and electrical properties , 2005 .

[1125]  Jin-Ha Hwang,et al.  Crystallization of Amorphous Silicon Thin Films Using Self-Limiting ALD of Nickel Oxide , 2007 .

[1126]  C. Wade,et al.  Tris(dialkylamino)aluminums: Syntheses, characterization, volatility comparison and atomic layer deposition of alumina thin films , 2007 .

[1127]  T. Okamoto,et al.  MBE-like and CVD-like atomic layer epitaxy of ZnSe in MOMBE system , 1990 .

[1128]  Sung-Wook Nam,et al.  Sub-10-nm nanochannels by self-sealing and self-limiting atomic layer deposition. , 2010, Nano letters.

[1129]  S. Gates,et al.  Growth of Si on Si(100) via H/Cl exchange and the effect of interfacial boron , 1992 .

[1130]  C. Takoudis,et al.  Effect of film thickness on the breakdown temperature of atomic layer deposited ultrathin HfO2 and Al2O3 diffusion barriers in copper metallization , 2007 .

[1131]  R. Chang,et al.  Theoretical and experimental study of impact of electric field on the atomic layer epitaxy of ZnO on α–Al2O3 surface , 2002 .

[1132]  S. George,et al.  Barrier properties of polymer/alumina nanocomposite membranes fabricated by atomic layer deposition , 2008 .

[1133]  Seokhoon Kim,et al.  Atomic Layer Deposition of HfO2 Thin Films on Ultrathin SiO2 Formed by Remote Plasma Oxidation , 2008 .

[1134]  Sun Liang,et al.  Characterization of Al2O3 Thin Films on GaAs Substrate Grown by Atomic Layer Deposition , 2006 .

[1135]  Masakiyo Matsumura,et al.  Atomic-layer chemical-vapor-deposition of silicon-nitride , 1997 .

[1136]  Sailing He,et al.  Kinetics of Stop-Flow Atomic Layer Deposition for High Aspect Ratio Template Filling through Photonic Band Gap Measurements , 2010 .

[1137]  M. Shiojiri,et al.  UV Electroluminescence and Structure of n-ZnO/p-GaN Heterojunction LEDs Grown by Atomic Layer Deposition , 2010, IEEE Journal of Quantum Electronics.

[1138]  J. Aarik,et al.  Spectroscopic study of nanocrystalline TiO2 thin films grown by atomic layer deposition , 1998 .

[1139]  Guang Xiong,et al.  Effect of atomic layer deposition coatings on the surface structure of anodic aluminum oxide membranes. , 2005, The journal of physical chemistry. B.

[1140]  J. Aarik,et al.  Composition and thickness determination of thin oxide films: comparison of different programs and methods , 1999 .

[1141]  M. Sasaki,et al.  Surface reaction mechanisms in GaAs atomic layer epitaxy , 1993 .

[1142]  T. Gougousi,et al.  Microcontact patterning of ruthenium gate electrodes by selective area atomic layer deposition , 2005 .

[1143]  J. Curless,et al.  Physical and electrical properties of nanolaminated HfO2∕LaAlO3∕HfO2 dielectric on Si , 2006 .

[1144]  S. Sugahara,et al.  Hydrogen-induced abstraction mechanism of surface methyl groups in atomic-layer-epitaxy of germanium , 1998 .

[1145]  S. Yun,et al.  Low-Temperature Deposition of Aluminum Oxide on Polyethersulfone Substrate Using Plasma-Enhanced Atomic Layer Deposition , 2004 .

[1146]  M. Schuisky,et al.  Atomic Layer Deposition of Thin Films Using O2 as Oxygen Source , 2001 .

[1147]  M. Mellier,et al.  Continuity and morphology of TaN barriers deposited by Atomic Layer Deposition and comparison with physical vapor deposition , 2004 .

[1148]  T. Törndahl,et al.  Atomic layer deposition of Zn1−xMgxO buffer layers for Cu(In,Ga)Se2 solar cells , 2007 .

[1149]  S. Sugahara,et al.  Modeling of silicon atomic-layer-epitaxy , 1996 .

[1150]  G. Leusink,et al.  Complete band offset characterization of the HfO2/SiO2/Si stack using charge corrected x-ray photoelectron spectroscopy , 2010 .

[1151]  Mikko Ritala,et al.  Behavior of zirconium oxide films processed from novel monocyclopentadienyl precursors by atomic layer deposition , 2009 .

[1152]  Krishna C. Saraswat,et al.  Interfacial characteristics of HfO2 grown on nitrided Ge (100) substrates by atomic-layer deposition , 2004 .

[1153]  C. Radtke,et al.  Metal transport and loss in ultrathin hafnium aluminate films on silicon studied by low, medium, and high energy ion beam analyses , 2006 .

[1154]  M. Mackenzie,et al.  A nanoanalytical investigation of elemental distributions in high-k dielectric gate stacks on silicon , 2008 .

[1155]  F. Hasegawa,et al.  Layer-by-Layer Growth of GaN on GaAs Substrates by Alternate Supply of GaCl3 and NH3 , 1996 .

[1156]  C. Hwang,et al.  ZnO nanoparticle growth on single-walled carbon nanotubes by atomic layer deposition and a consequent lifetime elongation of nanotube field emission , 2007 .

[1157]  M. Yashima,et al.  XPS study of the phase transition in pure zirconium oxide nanocrystallites , 2005 .

[1158]  S. Mantl,et al.  Characterization of lanthanum lutetium oxide thin films grown by atomic layer deposition as an alternative gate dielectric , 2008 .

[1159]  Yu. K. Ezhovskii,et al.  Growth and properties of Al2O3 and SiO2 nanolayers on III–V semiconductors , 2010 .

[1160]  R. Degraeve,et al.  Electrical characteristics of 8-/spl Aring/ EOT HfO/sub 2//TaN low thermal-budget n-channel FETs with solid-phase epitaxially regrown junctions , 2006, IEEE Transactions on Electron Devices.

[1161]  Koichi Toyoda,et al.  In situ ellipsometric diagnostics for controlled growth of metal oxides with surface chemical reactions , 1994 .

[1162]  E. Guziewicz,et al.  The properties of tris (8-hydroxyquinoline) aluminum organic light emitting diode with undoped zinc oxide anode layer , 2010 .

[1163]  N. Dasgupta,et al.  Scanning tunneling spectroscopy of lead sulfide quantum wells fabricated by atomic layer deposition , 2010, Nanotechnology.

[1164]  H. Bender,et al.  Atomic Layer Deposition of Hafnium Oxide on Ge and GaAs Substrates: Precursors and Surface Preparation , 2008 .

[1165]  Ying-Chung Chen,et al.  Measuring the microwave frequency relative permittivity of polyetherimide/ BaTi4O9 composites by using a rectangular cavity resonator , 2008 .

[1166]  Yangdo Kim,et al.  Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor , 2004 .

[1167]  R. Garvie THE OCCURRENCE OF METASTABLE TETRAGONAL ZIRCONIA AS A CRYSTALLITE SIZE EFFECT , 1965 .

[1168]  U. Gösele,et al.  Rayleigh-instability-induced metal nanoparticle chains encapsulated in nanotubes produced by atomic layer deposition. , 2008, Nano letters.

[1169]  C. Wolden,et al.  An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD† , 2008 .

[1170]  S. George,et al.  ATOMIC LAYER DEPOSITION OF SiO2 USING CATALYZED AND UNCATALYZED SELF-LIMITING SURFACE REACTIONS , 1999 .

[1171]  Jin-seong Park,et al.  Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing , 2006 .

[1172]  K. Yong,et al.  Characterization of Zirconium Silicate Gate Dielectrics Deposited on Si(100) Using Zr ( NEt2 ) 4 and Si ( O n Bu ) 4 , 2004 .

[1173]  P. Dapkus,et al.  Reflectance‐difference spectroscopy study of surface reactions in atomic layer epitaxy of GaAs using trimethylgallium and tertiarybutylarsine , 1991 .

[1174]  Gerry Triani,et al.  Influence of Si(1 0 0) surface pretreatment on the morphology of TiO2 films grown by atomic layer deposition , 2003 .

[1175]  J. Vlassak,et al.  Nucleation and Adhesion of ALD Copper on Cobalt Adhesion Layers and Tungsten Nitride Diffusion Barriers , 2005 .

[1176]  C. Ku,et al.  Fabrication of epitaxial ZnO films by atomic-layer deposition with interrupted flow , 2009 .

[1177]  J. Aarik Atomic-layer growth of TiO2-II thin films , 1996 .

[1178]  Mikko Ritala,et al.  Atomic Layer Deposition of Titanium Oxide from TiI4 and H2O2 , 2000 .

[1179]  H.‐G. Jerschkewitz,et al.  Untersuchungen an katalytisch aktiven oberflächenverbindungen. Herstellung und untersuchung von Vanadinoxid‐Phasen auf SiO2 , 1975 .

[1180]  L. Lamagna,et al.  Atomic layer deposition of rare-earth-based binary and ternary oxides for microelectronic applications , 2012 .

[1181]  Martin L. Green,et al.  Morphology and crystallization kinetics in HfO2 thin films grown by atomic layer deposition , 2003 .

[1182]  T. Sajavaara,et al.  Gadolinium oxide thin films by atomic layer deposition , 2005 .

[1183]  Seokhoon Kim,et al.  Characteristics of Hafnium–Zirconium–Oxide Film Treated by Remote Plasma Nitridation , 2008 .

[1184]  M. Shiojiri,et al.  Amplified spontaneous emission from ZnO in n-ZnO/ZnO nanodots–SiO2 composite/p-AlGaN heterojunction light-emitting diodes , 2009, Nanotechnology.

[1185]  S. Denbaars,et al.  GaAs/AlGaAs quantum well lasers with active regions grown by atomic layer epitaxy , 1987 .

[1186]  Jeong Hwan Kim,et al.  Effects of surface treatments using O3 and NH3 on electrical properties and chemical structures of high-k HfO2 dielectric films on strained Si1−xGex∕Si substrates , 2008 .

[1187]  C. Hsu Growth of ZnSxSe1-x layers on Si substrates by atomic layer epitaxy , 1999 .

[1188]  B. Lee,et al.  Metalcones: hybrid organic-inorganic films fabricated using atomic and molecular layer deposition techniques. , 2011, Journal of nanoscience and nanotechnology.

[1189]  C. G. Park,et al.  Effect oxygen exposure on the quality of atomic layer deposition of ruthenium from bis(cyclopentadienyl)ruthenium and oxygen , 2008 .

[1190]  A. Auroux,et al.  Controlled coating of high surface area silica with titania overlayers by atomic layer deposition , 2002 .

[1191]  S. Imai,et al.  A Novel Atomic Layer Epitaxy Method of Silicon , 1991 .

[1192]  K. Kukli,et al.  Deposition and etching of tantalum oxide films in atomic layer epitaxy process , 1994 .

[1193]  A. Nakajima,et al.  Self-limiting atomic-layer deposition of Si on SiO2 by alternate supply of Si2H6 and SiCl4 , 2001 .

[1194]  Kinetic model of II-VI(001) semiconductor surfaces: Growth rates in atomic layer epitaxy , 2003, cond-mat/0310151.

[1195]  M. Pessa,et al.  Atomic layer epitaxy of Cd1 − xMnxTe grown on CdTe (111)B substrates , 1984 .

[1196]  M. Gros-Jean,et al.  Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient , 2008 .

[1197]  M. Matsumura,et al.  Sub-Atomic Layer Growth of SiC at Low Temperatures , 1995 .

[1198]  U. Gösele,et al.  A practical, self-catalytic, atomic layer deposition of silicon dioxide. , 2008, Angewandte Chemie.

[1199]  S. George,et al.  Nucleation and Growth during Al2O3 Atomic Layer Deposition on Polymers , 2005 .

[1200]  S. Bedair,et al.  Atomic layer epitaxy of GaAs with a 2 μm/h growth rate , 1993 .

[1201]  VUV spectroscopic ellipsometry applied to the characterization of high-k dielectrics , 2004 .

[1202]  Duygu Kuzum,et al.  Chemical Bonding, Interfaces, and Defects in Hafnium Oxide∕Germanium Oxynitride Gate Stacks on Ge(100) , 2008 .

[1203]  M. Reiche,et al.  Atomic Layer Deposition of Antimony Oxide and Antimony Sulfide , 2009 .

[1204]  S. Maikap,et al.  Memory Characteristics of Atomic-Layer-Deposited High-? HfAlO Nanocrystal Capacitors , 2008 .

[1205]  S. Haukka,et al.  Surface coverage of ALE precursors on oxides , 1994 .

[1206]  Krishna C. Saraswat,et al.  Local epitaxial growth of ZrO2 on Ge (100) substrates by atomic layer epitaxy , 2003 .

[1207]  M. Oikkonen Ellipsometric studies on zinc sulfide thin films grown by atomic layer epitaxy , 1987 .

[1208]  J. Schubert,et al.  Rare earth scandate thin films by atomic layer deposition: effect of the rare earth cation size , 2010 .

[1209]  C. Lavoie,et al.  The physical properties of cubic plasma-enhanced atomic layer deposition TaN films , 2004 .

[1210]  G. Friedbacher,et al.  Atomic Force Microscopy Studies of SnO2 Thin Film Microstruc tures Deposited by Atomic Layer Epitaxy , 2000 .

[1211]  Peng-Fei Wang,et al.  Electronic structure and optical properties of Nb doped Al2O3 on Si by atomic layer deposition , 2010 .

[1212]  K. Shibahara,et al.  Atomic layer controlled deposition of silicon nitride with self‐limiting mechanism , 1996 .

[1213]  D. W. Robinson,et al.  The interaction of dimethylethylaminealane and ammonia on clean and oxidized Al(111): atomic layer growth of aluminum nitride , 1996 .

[1214]  Yongchan Kim,et al.  The Effects of Annealing Ambient on the Characteristics of La2O3 Films Deposited by RPALD , 2010 .

[1215]  G. Jursich,et al.  Atomic layer deposition of HfO_2, Al_2O_3, and HfAlO_x using O_3 and metal(diethylamino) precursors , 2007 .

[1216]  P. Dapkus,et al.  Use of tertiarybutylarsine in atomic layer epitaxy and laser‐assisted atomic layer epitaxy of device quality GaAs , 1992 .

[1217]  S. Rhee,et al.  Improved performance of dye-sensitized solar cells with TiO2/alumina core–shell formation using atomic layer deposition , 2010 .

[1218]  M. Ritala,et al.  Trimethylaluminum as a Reducing Agent in the Atomic Layer Deposition of Ti(Al)N Thin Films , 2001 .

[1219]  M. Pessa,et al.  A study of ZnTe films grown on glass substrates using an atomic layer evaporation method , 1980 .

[1220]  Naoyuki Takahashi,et al.  Atmospheric Pressure Atomic Layer Epitaxy of ZnO Using a Chloride Source , 2001 .

[1221]  L. Niinistö,et al.  Controlled growth of tin dioxide thin films by atomic layer epitaxy , 1994 .

[1222]  Zhong Lin Wang,et al.  Piezoelectric field effect transistor and nanoforce sensor based on a single ZnO nanowire. , 2006, Nano letters.

[1223]  G. Dingemans,et al.  Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD , 2010 .

[1224]  Sheng-Yi Lu,et al.  TiO2-coated carbon nanotubes: A redshift enhanced photocatalysis at visible light , 2010 .

[1225]  Kwang-H Lee,et al.  Advantageous Reverse Recovery Behavior of Pentacene/ZnO Diode , 2010 .

[1226]  M. Tamargo,et al.  Adsorption, desorption, and interdiffusion in atomic layer epitaxy of CdTe and CdZnTe , 2004, 1910.02944.

[1227]  K. Kukli,et al.  Atomic Layer Deposition and Characterization of HfO2 Films on Noble Metal Film Substrates , 2005 .

[1228]  C. Hwang,et al.  Atomic Layer Deposition of Ruthenium Nanoparticles Using a Low-Density Dielectric Film as Template Structure , 2009 .

[1229]  S. Kim,et al.  Fabrication and electrochemical characterization of TiO2 three-dimensional nanonetwork based on peptide assembly. , 2009, ACS nano.

[1230]  S. George,et al.  Kinetics of the WF6 and Si2H6 surface reactions during tungsten atomic layer deposition , 2001 .

[1231]  Y. Katayama,et al.  The mechanism of atomic layer epitaxy of GaAs using trimethylgallium and arsine , 1990 .

[1232]  M. Ritala,et al.  Growth of In2S3 thin films by atomic layer epitaxy , 1994 .

[1233]  H. Ishii,et al.  Germanium Atomic Layer Epitaxy Controlled by Surface Chemical Reactions , 1989 .

[1234]  S. George,et al.  ATOMIC LAYER CONTROLLED GROWTH OF SIO2 FILMS USING BINARY REACTION SEQUENCE CHEMISTRY , 1997 .

[1235]  M. Ritala,et al.  Atomic layer deposition of Al2O3 films using AlCl3 and Al(OiPr)3 as precursors , 2002 .

[1236]  U. Gösele,et al.  The transition between conformal atomic layer epitaxy and nanowire growth. , 2010, Journal of the American Chemical Society.

[1237]  Min-Ki Ryu,et al.  Bipolar resistive switching in amorphous titanium oxide thin film , 2009, 0908.3525.

[1238]  Chih-Chieh Wang,et al.  Organic nanowire-templated fabrication of alumina nanotubes by atomic layer deposition. , 2007, Nano letters.

[1239]  Sang Heup Moon,et al.  Atomic layer deposition of an HfO2 thin film using Hf(O-iPr)4 , 2009 .

[1240]  Romeo Bernini,et al.  Liquid Core ARROW Waveguides by Atomic Layer Deposition , 2010, IEEE Photonics Technology Letters.

[1241]  G. Higashi,et al.  Surface photochemical phenomena in laser chemical vapor deposition , 1985 .

[1242]  D. King,et al.  Passivation of pigment-grade TiO2 particles by nanothick atomic layer deposited SiO2 films , 2008, Nanotechnology.

[1243]  Thomas W. Hamann,et al.  Outer-Sphere Redox Couples as Shuttles in Dye-Sensitized Solar Cells. Performance Enhancement Based on Photoelectrode Modification via Atomic Layer Deposition , 2008 .

[1244]  Alan W. Weimer,et al.  TiO2 atomic layer deposition on ZrO2 particles using alternating exposures of TiCl4 and H2O , 2004 .

[1245]  Leena‐Sisko Johansson,et al.  Surface-controlled growth of magnesium oxide thin films by atomic layer epitaxy , 1999 .

[1246]  S. Denbaars,et al.  Atomic layer epitaxy for the growth of heterostructure devices , 1988 .

[1247]  N. El-Masry,et al.  Low temperature growth of AIGaP and GaP on si substrates by atomic layer epitaxy , 1992 .

[1248]  C. Hwang,et al.  Impact of O3 feeding time on TiO2 films grown by atomic layer deposition for memory capacitor applications , 2007 .

[1249]  E. M. Larramendi,et al.  INTERACTION BETWEEN Zn AND Cd ATOMS DURING THE ATOMIC LAYER EPITAXY GROWTH OF CdZnTe/ZnTe QUANTUM WELLS , 2002 .

[1250]  M. Ritala,et al.  Atomic Layer Deposition of Platinum Oxide and Metallic Platinum Thin Films from Pt(acac)2 and Ozone , 2008 .

[1251]  S. George,et al.  Rotary reactor for atomic layer deposition on large quantities of nanoparticles , 2007 .

[1252]  Yong Ju Lee Formation of aluminum nitride thin films as gate dielectrics on Si (100) , 2004 .

[1253]  T. Hatanpää,et al.  Deposition of palladium and ruthenium β-diketonates on alumina and silica supports in gas and liquid phase , 2003 .

[1254]  A. A. Malygin,et al.  Preparation of tin oxide nanocoatings on borosilicate glass by the molecular layering method , 2008 .

[1255]  Chia‐Chen Li,et al.  Low-temperature atomic layer deposited Al2O3 thin film on layer structure cathode for enhanced cycleability in lithium-ion batteries , 2010 .

[1256]  J. M. Sturm,et al.  In Situ Reflective High‐Energy Electron Diffraction Analysis During the Initial Stage of a Trimethylaluminum/Water ALD Process , 2006 .

[1257]  M. Pessa,et al.  Growth of Cd1−xMnxTe films with 0 , 1984 .

[1258]  I. Bhat,et al.  Monitoring of CdTe atomic layer epitaxy using in-situ spectroscopic ellipsometry , 1998 .

[1259]  Ilkka Tittonen,et al.  Atomic layer deposition enhanced rapid dry fabrication of micromechanical devices with cryogenic deep reactive ion etching , 2007 .

[1260]  T. Tuomi,et al.  Density of ZnS thin films grown by atomic layer epitaxy , 1988 .

[1261]  Tai-Yuan Lin,et al.  Growth and characterization of GaN films on (0001) sapphire substrates by alternate supply of trimethylgallium and NH3 , 1999 .

[1262]  Elina Färm,et al.  Selective-Area Atomic Layer Deposition Using Poly(vinyl pyrrolidone) as a Passivation Layer , 2010 .

[1263]  M. Ritala,et al.  In Situ Quadrupole Mass Spectrometry and Quartz Crystal Microbalance Studies on the Atomic Layer Deposition of Titanium Dioxide from Titanium Tetrachloride and Water , 2001 .

[1264]  H. Brongersma,et al.  Growth of aluminum nitride on porous alumina and silica through separate saturated gas-solid reactions of trimethylaluminum and ammonia , 2002 .

[1265]  S. George,et al.  Enhancing the nucleation of palladium atomic layer deposition on Al2O3 using trimethylaluminum to prevent surface poisoning by reaction products , 2009 .

[1266]  M. Sung,et al.  Light stamping lithography: microcontact printing without inks. , 2006, Journal of the American Chemical Society.

[1267]  P. Ho,et al.  Effects of surface chemistry on ALD Ta3N5 barrier formation on low-k dielectrics , 2005 .

[1268]  A. Kitai,et al.  Preparation and characterization of thin films of MgO, Al2O3 and MgAl2O4 by atomic layer deposition , 1993 .

[1269]  F. Prinz,et al.  Intermediate-Temperature Ceramic Fuel Cells with Thin Film Yttrium-Doped Barium Zirconate Electrolytes , 2009 .

[1270]  D. Cameron,et al.  Plasma-Assisted Atomic Layer Deposition of Al 2 O 3 at Room Temperature , 2009 .

[1271]  P. Kingshott,et al.  Molecular mechanisms of aluminum oxide thin film growth on polystyrene during atomic layer deposition. , 2010, Chemistry.

[1272]  Seokhoon Kim,et al.  Characteristics of HfO2 thin films grown by plasma atomic layer deposition , 2005 .

[1273]  Mann-Ho Cho,et al.  Thermodynamic properties and interfacial layer characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition , 2007 .

[1274]  M. Ritala,et al.  ALD of YF3 Thin Films from TiF4 and Y(thd)3 Precursors , 2009 .

[1275]  J. Nishizawa,et al.  Enhanced impurity incorporation by alternate Te and S doping in GaAs prepared by intermittent injection of triethylgallium and arsine in ultra high vacuum , 2002 .

[1276]  E. Bertagnolli,et al.  Impact of Germanium Surface Conditioning and ALD-growth Temperature on Al2O3 / ZrO2 High-k Dielectric Stacks , 2009 .

[1277]  P. Lefebvre,et al.  Excitons trapped on self-organised CdTe islands in wide ZnTe quantum wells , 1998 .

[1278]  C. Wolden,et al.  Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4 , 2009 .

[1279]  B. Ahn,et al.  Interstitial Oxygen Incorporation into Silicon Substrate during Plasma Enhanced Atomic Layer Deposition of Al2O3 , 2005 .

[1280]  Chun-Yen Chang,et al.  Characteristics of Atomic-Layer-Deposited Al2O3 High-k Dielectric Films Grown on Ge Substrates , 2008 .

[1281]  Yangdo Kim,et al.  Comparison of TiN Films Deposited Using Tetrakisdimethylaminotitanium and Tetrakisdiethylaminotitanium by the Atomic Layer Deposition Method , 2003 .

[1282]  A. Hårsta,et al.  New routes to SnO2 heteroepitaxy , 2002 .

[1283]  S. Im,et al.  Effect of modification of S-terminated Ge(1 0 0) surface on ALD HfO 2 gate stack , 2009 .

[1284]  K. Kukli,et al.  Dielectric Properties of Zirconium Oxide Grown by Atomic Layer Deposition from Iodide Precursor , 2001 .

[1285]  S. Bedair,et al.  Ultrathin InAs/GaAs single quantum well structures grown by atomic layer epitaxy , 1986 .

[1286]  H. Kim,et al.  Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition , 2002 .

[1287]  Improved properties of Pt–HfO2 gate insulator–ZnO semiconductor thin film structure by annealing of ZnO layer , 2010 .

[1288]  M. Leskelä,et al.  Alkaline earth sulfide thin films grown by atomic layer epitaxy , 1987 .

[1289]  Jyrki Vuorinen,et al.  Mechanical and tribological property comparison of melt-compounded nanocomposites of atomic-layer-deposition-coated polyamide particles and commercial nanofillers , 2009 .

[1290]  Mikko Ritala,et al.  Tailoring the dielectric properties of HfO2–Ta2O5 nanolaminates , 1996 .

[1291]  S. George,et al.  Tungsten atomic layer deposition on polymers , 2008 .

[1292]  Seong‐Hyeon Hong,et al.  Gas sensing properties in epitaxial SnO2 films grown on TiO2 single crystals with various orientations , 2010 .

[1293]  Helmut Baumgart,et al.  Nanoindentation Investigation of HfO2 and Al2O3 Films Grown by Atomic Layer Deposition , 2008 .

[1294]  Robert P. H. Chang,et al.  Photonic bandgap engineering with inverse opal multistacks of different refractive index contrasts , 2009 .

[1295]  Chia-Hung Hsu,et al.  Structural Characteristics and Annealing Effect of ZnO Epitaxial Films Grown by Atomic Layer Deposition , 2009 .

[1296]  A. Furuya,et al.  Ta penetration into template-type porous low-k material during atomic layer deposition of TaN , 2005 .

[1297]  Mikko Ritala,et al.  Growth and phase stabilization of HfO2 thin films by ALD using novel precursors , 2010 .

[1298]  S. Rhee,et al.  Atomic layer deposition of hafnium silicate film for high mobility pentacene thin film transistor applications , 2009 .

[1299]  Ki-Bum Kim,et al.  Atomic Layer Deposition of Ru Nanocrystals with a Tunable Density and Size for Charge Storage Memory Device Application , 2008 .

[1300]  B. Chung,et al.  Low-temperature growth of ZnO thin films by atomic layer deposition , 2007 .

[1301]  Jong-Wan Park,et al.  Titanium Oxide Thin Films Prepared by Plasma Enhanced Atomic Layer Deposition Using Remote Electron Cyclotron Resonance Plasma for Organic Devices Passivation , 2010 .

[1302]  M. Leskelä,et al.  Atomic layer epitaxy of strontium sulfide thin films using in situ synthesized strontium precursors , 1996 .

[1303]  Sun-Woo Choi,et al.  Synthesis of SnO2–ZnO core–shell nanofibers via a novel two-step process and their gas sensing properties , 2009, Nanotechnology.

[1304]  K. Shibahara,et al.  Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy , 1997 .

[1305]  Suree Brown,et al.  Surface Modification of Au/TiO2 Catalysts by SiO2 via Atomic Layer Deposition , 2008 .

[1306]  R. Davis,et al.  Layer-by-layer epitaxial growth of GaN at low temperatures , 1993 .

[1307]  Fred H. Pollak,et al.  Atomic layer epitaxy of GaInP ordered alloy , 1990 .

[1308]  Zhaoming Zhang,et al.  Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition , 2008 .

[1309]  U. Woggon,et al.  On the isothermal closed space sublimation growth of CdSe using a mixed source for selenium , 2010 .

[1310]  E. Fitzgerald,et al.  In situ metal-organic chemical vapor deposition atomic-layer deposition of aluminum oxide on GaAs using trimethyaluminum and isopropanol precursors , 2008 .

[1311]  S. Bedair,et al.  Self-limited growth of InAs at 480 °C , 1994 .

[1312]  M. Konagai,et al.  Self-limiting growth of zinc chalcogenides and their superlattices , 1993 .

[1313]  S. O. Ferreira,et al.  Self-limiting monolayer epitaxy of wide gap II-VI superlattices , 1993 .

[1314]  C. Tripp,et al.  An infrared study of the surface chemistry of titanium nitride atomic layer deposition on silica from TiCl4 and NH3 , 2006 .

[1315]  M. Putkonen,et al.  Neodymium oxide and neodymium aluminate thin films by atomic layer deposition , 2005 .

[1316]  M. Ritala,et al.  Atomic Layer Deposition of Molybdenum Nitride Thin Films for Cu Metallizations , 2005 .

[1317]  Surface chemistry and electrical properties of germanium nanowires. , 2004, Journal of the American Chemical Society.

[1318]  Hyoun-woo Kim,et al.  Photoluminescence Studies of ZnO thin films grown by atomic layer epitaxy , 2004 .

[1319]  O. Richard,et al.  A theoretical and experimental study of atomic-layer-deposited films onto porous dielectric substrates , 2005 .

[1320]  G. Jursich,et al.  Post deposition annealing of aluminum oxide deposited by atomic layer deposition using tris(diethylamino)aluminum and water vapor on Si(100) , 2007 .

[1321]  R. Puurunen,et al.  Preparation of silica-supported cobalt catalysts through chemisorption of cobalt(II) and cobalt(III) acetylacetonate , 2002 .

[1322]  Seokhoon Kim,et al.  Composition, structure, and electrical characteristics of HfO2 gate dielectrics grown using the remote- and direct-plasma atomic layer deposition methods , 2005 .

[1323]  Martin L. Green,et al.  Nucleation of atomic-layer-deposited HfO2 films, and evolution of their microstructure, studied by grazing incidence small angle x-ray scattering using synchrotron radiation , 2006 .

[1324]  S. Yun,et al.  Characteristics of Al x Ti1 − x O y Films Grown by Plasma-Enhanced Atomic Layer Deposition , 2007 .

[1325]  H. Kim,et al.  High-Quality Low-Temperature Silicon Oxide by Plasma-Enhanced Atomic Layer Deposition Using a Metal–Organic Silicon Precursor and Oxygen Radical , 2010, IEEE Electron Device Letters.

[1326]  P. Li,et al.  Synthesis of a Novel Porous Polymer/Ceramic Composite Material by Low-Temperature Atomic Layer Deposition , 2007 .

[1327]  Joseph T Hupp,et al.  ZnO nanotube based dye-sensitized solar cells. , 2007, Nano letters.

[1328]  L. Hernández,et al.  Atomic layer epitaxy of ZnTe by isothermal closed space sublimation , 2001 .

[1329]  Seokhoon Kim,et al.  Effects of Remote Plasma Pre-oxidation of Si Substrates on the Characteristics of ALD-Deposited HfO2 Gate Dielectrics , 2006 .

[1330]  M. Perego,et al.  Atomic Layer Deposition of NiO Films on Si(100) Using Cyclopentadienyl-Type Compounds and Ozone as Precursors , 2008 .

[1331]  J. Heitmann,et al.  Deposition temperature effect on electrical properties and interface of high-k ZrO2 capacitor , 2008 .

[1332]  M. Ritala,et al.  Atomic Layer Deposition of BaTiO3 Thin Films—Effect of Barium Hydroxide Formation , 2007 .

[1333]  M. Aslan,et al.  Low-Loss Optical Waveguides for the Near Ultra-Violet and Visible Spectral Regions with Al(2)O(3) Thin Films from Atomic Layer Deposition. , 2010, Thin solid films.

[1334]  S. Sugahara,et al.  Atomic layer epitaxy of germanium , 1994 .

[1335]  Chongmu Lee,et al.  Dependence of the electrical properties of the ZnO thin films grown by atomic layer epitaxy on the reactant feed sequence , 2006 .

[1336]  A. Hårsta,et al.  Template-based multiwalled TiO2/iron oxides nanotubes: Structure and magnetic properties , 2009 .

[1337]  M. Ritala,et al.  Deposition of molybdenum thin films by an alternate supply of MoCl5 and Zn , 1998 .

[1338]  W. Keuning,et al.  Low temperature plasma-enhanced atomic layer deposition of metal oxide thin films , 2010 .

[1339]  E. Bertagnolli,et al.  Atomic layer-deposited platinum in high-k/metal gate stacks , 2009 .

[1340]  Ming L. Yu,et al.  Mechanisms of atomic layer epitaxy of GaAs , 1993 .

[1341]  R. Waser,et al.  Growth of Noble Metal Ru Thin Films by Liquid Injection Atomic Layer Deposition , 2009 .

[1342]  J. Bartha,et al.  Method to determine the sticking coefficient of precursor molecules in atomic layer deposition , 2009 .

[1343]  Mikko Ritala,et al.  Comparative Study of Flatband Voltage Transients on High-k Dielectric-Based Metal–Insulator–Semiconductor Capacitors , 2008 .

[1344]  S. George,et al.  Mechanism of Pyridine-Catalyzed SiO2 Atomic Layer Deposition Studied by Fourier Transform Infrared Spectroscopy , 2007 .

[1345]  J. Aarik,et al.  Effect of growth conditions on formation of TiO2-II thin films in atomic layer deposition process , 1997 .

[1346]  N. Dasgupta,et al.  Area-selective atomic layer deposition of lead sulfide: nanoscale patterning and DFT simulations. , 2010, Langmuir : the ACS journal of surfaces and colloids.

[1347]  Martin L. Green,et al.  Annealing behavior of atomic layer deposited HfO2 films studied by synchrotron x-ray reflectivity and grazing incidence small angle scattering , 2009 .

[1348]  Alex B. F. Martinson,et al.  Atomic layer deposition of TiO2 on aerogel templates: New photoanodes for dye-sensitized solar cells , 2008 .

[1349]  F. Roozeboom,et al.  Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications , 2009 .

[1350]  S. Yokoyama,et al.  Atomic-layer selective deposition of silicon nitride on hydrogen-terminated Si surfaces , 1998 .

[1351]  K. Kukli,et al.  In situ study of atomic layer epitaxy growth of tantalum oxide thin films from Ta(OC2H5)5 and H2O , 1997 .

[1352]  J. Valmalette,et al.  Size Effects on the Stabilization of Ultrafine Zirconia Nanoparticles , 2002 .

[1353]  R. Kobayashi,et al.  Role of Hydrogen in Atomic Layer Epitaxy of GaAs Using GaCl3 , 1992 .

[1354]  A. Koukitu,et al.  In situ monitoring of the growth process in GaAs atomic layer epitaxy by gravimetric and optical methods , 1995 .

[1355]  M. Ritala,et al.  Modifying ALE grown In2O3 films by benzoyl fluoride pulses , 1997 .

[1356]  C. Wang,et al.  Adjusting trimethylgallium injection time to explore atomic layer epitaxy of GaAs between 425 and 500°C by organometallic vapor phase epitaxy , 1994 .

[1357]  Y. Utsumi,et al.  Reaction kinetics in synchrotron‐radiation‐excited Si epitaxy with disilane. I. Atomic layer epitaxy , 1995 .

[1358]  Shiyang Zhu,et al.  Atomic Layer Deposition of HfO2 and Si Nitride on Ge Substrates , 2007 .

[1359]  A. Hector,et al.  Synthesis and applications of nanocrystalline nitride materials , 2009 .

[1360]  George C Schatz,et al.  Toward plasmonic solar cells: protection of silver nanoparticles via atomic layer deposition of TiO2. , 2009, Langmuir : the ACS journal of surfaces and colloids.

[1361]  A. Hårsta,et al.  Atomic Layer Deposition of Epitaxial and Polycrystalline SnO2 Films from the SnI4/O2 Precursor Combination. , 2003 .

[1362]  C. Hwang,et al.  Atomic Layer Deposition and Electrical Properties of PbTiO3 Thin Films Using Metallorganic Precursors and H2O , 2007 .

[1363]  J. Rodriguez-Reyes,et al.  Surface Transamination Reaction for Tetrakis(dimethylamido)titanium with NHX-Terminated Si(100) Surfaces , 2007 .

[1364]  Marianna Kemell,et al.  High Temperature Atomic Layer Deposition of Ruthenium from N , N-Dimethyl-1-ruthenocenylethylamine , 2010 .

[1365]  Jean-Pierre Celis,et al.  Growth and characterization of atomic layer deposited WC0.7N0.3 on polymer films , 2004 .

[1366]  D. Bertone Atomic layer epitaxy of InP , 1992 .

[1367]  Sbs Stephan Heil,et al.  Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry , 2005 .

[1368]  B. McCool,et al.  Self‐Limited Pore Size Reduction of Mesoporous Silica Membranes via Pyridine‐Catalyzed Silicon Dioxide ALD , 2004 .

[1369]  R. J. Hill,et al.  Crystal Structure of Orthorhombic Zirconia in Partially Stabilized Zirconia , 1989 .

[1370]  S. Yun,et al.  Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition , 2005 .

[1371]  Do‐Heyoung Kim,et al.  Stability of Plasma Posttreated TiN Films Prepared by Alternating Cyclic Pulses of Tetrakis-Dimethylamido-Titanium and Ammonia , 2004 .

[1372]  S. Bedair,et al.  Sidewall growth by atomic layer epitaxy , 1988 .

[1373]  J. F. Conley,et al.  Electrical properties of HfO2 deposited via atomic layer deposition using Hf(NO3)4 and H2O , 2003 .

[1374]  S. Khalid,et al.  Cubic phase stabilization in nanoparticles of hafnia-zirconia oxides: Particle-size and annealing environment effects , 2008 .

[1375]  M. Putkonen,et al.  Zirconia thin films by atomic layer epitaxy. A comparative study on the use of novel precursors with ozone , 2001 .

[1376]  The electrical and physical analysis of Pt gate/Al2O3/p-Si (100) with dual high-k gate oxide thickness for deep submicron complementary metal-oxide-semiconductor device with low power and high reliability , 2005 .

[1377]  B. Das,et al.  Nanoporous gold–alumina core–shell films with tunable optical properties , 2010, Nanotechnology.

[1378]  P. McIntyre,et al.  Pre-atomic layer deposition surface cleaning and chemical passivation of (100) In0.2Ga0.8As and deposition of ultrathin Al2O3 gate insulators , 2008 .

[1379]  K. Baek,et al.  Temperature-dependent photoluminescence of ZnSe/ZnS quantum dots fabricated under the Stranski–Krastanov mode , 2003 .

[1380]  E. Bertagnolli,et al.  Stabilization of a very high-k crystalline ZrO2 phase by post deposition annealing of atomic layer deposited ZrO2/La2O3 dielectrics on germanium , 2010 .

[1381]  D. Gilmer,et al.  Atomic Layer Deposition and Properties of Lanthanum Oxide and Lanthanum-Aluminum Oxide Films† , 2006 .

[1382]  Travis J. Anderson,et al.  Atomic layer deposition of GaN using GaCl3 and NH3 , 2009 .

[1383]  M. Ritala,et al.  Atomic Layer Deposition of Zirconium Titanium Oxide from Titanium Isopropoxide and Zirconium Chloride , 2001 .

[1384]  H. Matsunami,et al.  Laser-assisted atomic layer epitaxy of GaP in chemical beam epitaxy , 1993 .

[1385]  C. Ku,et al.  Epitaxial growth of ZnO films at extremely low temperature by atomic layer deposition with interrupted flow , 2010 .

[1386]  Yu. K. Ezhovskii,et al.  Growth of CdSe- and CdTe-Based Nanostructures on Silicon , 2004 .

[1387]  Tobin J Marks,et al.  Ni(III)/(IV) bis(dicarbollide) as a fast, noncorrosive redox shuttle for dye-sensitized solar cells. , 2010, Journal of the American Chemical Society.

[1388]  Steven M. George,et al.  Quartz crystal microbalance study of tungsten atomic layer deposition using WF6 and Si2H6 , 2005 .

[1389]  S. Banerjee,et al.  Realization of a high mobility dual-gated graphene field-effect transistor with Al2O3 dielectric , 2009, 0901.2901.

[1390]  M. Halls,et al.  In Situ Infrared Characterization during Atomic Layer Deposition of Lanthanum Oxide , 2009 .

[1391]  N. Kim,et al.  Multiferroic Properties of Bismuth Layer Structured Bi3.25La0.75Ti3O12-(La0.7 Sr0.3)MnO3 Solid Solution at Low Temperature , 2010 .

[1392]  Martin M. Frank,et al.  Enhanced initial growth of atomic-layer-deposited metal oxides on hydrogen-terminated silicon , 2003 .

[1393]  E. Graugnard,et al.  Photonic Crystals Fabricated Using Patterned Nanorod Arrays , 2005 .

[1394]  K. Kukli,et al.  Low‐Temperature Deposition of Zirconium Oxide–Based Nanocrystalline Films by Alternate Supply of Zr[OC(CH3)3]4 and H2O , 2000 .

[1395]  A. Auroux,et al.  Surface characteristics and activity in selective oxidation of o-xylene of supported V2O5 catalysts prepared by standard impregnation and atomic layer deposition , 2004 .

[1396]  Hyungjun Kim,et al.  High performance thin film transistor with low temperature atomic layer deposition nitrogen-doped ZnO , 2007 .

[1397]  C. Park,et al.  Photocatalytic activities of TiO2 thin films prepared on Galvanized Iron substrate by plasma-enhanced atomic layer deposition , 2010 .

[1398]  Zhaoming Zhang,et al.  Enhanced adhesion of atomic layer deposited titania on polycarbonate substrates , 2007 .

[1399]  Mikko Ritala,et al.  Atomic Layer Deposition of Titanium Disulfide Thin Films , 2007 .

[1400]  Mikko Heikkilä,et al.  Noble metal-modified TiO2 thin film photocatalyst on porous steel fiber support , 2010 .

[1401]  M. Ritala,et al.  In Situ Mass Spectrometry Study on Atomic Layer Deposition from Metal (Ti, Ta, and Nb) Ethoxides and Water , 2001 .

[1402]  Aleksandra Radenovic,et al.  ZnO-Al2O3 and ZnO-TiO2 core-shell nanowire dye-sensitized solar cells. , 2006, The journal of physical chemistry. B.

[1403]  S. Rhee,et al.  Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma and tert‐Butylimido‐tris(diethylamido)‐tantalum (TBTDET), and its Effect on Material Properties , 2008 .

[1404]  K. Toyoda,et al.  Self-limiting behavior of the growth of Al2O3 using sequential vapor pulses of TMA and H2O2 , 1992 .

[1405]  B. D. Kay,et al.  Decomposition of trimethylgallium on the gallium‐rich GaAs (100) surface: Implications for atomic layer epitaxy , 1990 .

[1406]  J. F. Conley,et al.  Atomic layer deposition of thin hafnium oxide films using a carbon free precursor , 2003 .

[1407]  H. Nagasawa,et al.  Mechanisms of SiC growth by alternate supply of SiH2Cl2 and C2H2 , 1994 .

[1408]  M. Leskelä,et al.  In situ study of a strontium β-diketonate precursor for thin-film growth by atomic layer epitaxy , 1994 .

[1409]  E. Eisenbraun,et al.  Low-temperature plasma-enhanced atomic layer deposition growth of WN_xC_y from a novel precursor for barrier applications in nanoscale devices , 2007 .

[1410]  A. Tarre,et al.  Atomic layer deposition in traveling-wave reactor: In situ diagnostics by optical reflection , 1997 .

[1411]  M. Leskelä,et al.  Nitrides of titanium, niobium, tantalum and molybdenum grown as thin films by the atomic layer epitaxy method☆ , 1988 .

[1412]  Wmm Erwin Kessels,et al.  Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition , 2006 .

[1413]  Lars Stolt,et al.  Study on ALD In2S3/Cu(In,Ga)Se2 interface formation , 2005 .

[1414]  Roy G. Gordon,et al.  ALD of Scandium Oxide from Scandium Tris(N , N ′ -diisopropylacetamidinate) and Water , 2006 .

[1415]  M. Ritala,et al.  In Situ Mass Spectrometry Study on Surface Reactions in Atomic Layer Deposition of TiN and Ti(Al)N Thin Films , 2002 .

[1416]  S. Haukka,et al.  An IR and NMR study of the chemisorption of titanium tetrachloride on silica , 1993 .

[1417]  M. Jurczak,et al.  Atomic Layer Deposition of Gadolinium Aluminate using Gd(iPrCp)3, TMA, and O3 or H2O , 2010 .

[1418]  H. Brongersma,et al.  Sequential saturating reactions of ZrCl4 and H2O vapors in the modifications of silica and g-Alumina with ZrO2 , 1997 .

[1419]  B. Lee,et al.  UV-enhanced atomic layer deposition of ZrO2 thin films at room temperature , 2010 .

[1420]  J. Aarik,et al.  Structural characterization of TiO2–Cr2O3 nanolaminates grown by atomic layer deposition , 2010 .

[1421]  S. Yun,et al.  Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices , 2004 .

[1422]  M. Ramon,et al.  Film properties of ALD HfO2 and La2O3 gate dielectrics grown on Si with various pre-deposition treatments , 2004 .

[1423]  R. Ghodssi,et al.  Biofabrication methods for the patterned assembly and synthesis of viral nanotemplates , 2010, Nanotechnology.

[1424]  T. Sajavaara,et al.  Enhanced growth rate in atomic layer epitaxy deposition of magnesium oxide thin films , 2000 .

[1425]  H. Fujiwara,et al.  Carrier transport properties of iodine‐doped (ZnS)3(ZnSe)42 ordered alloys grown by atomic layer epitaxy , 1995 .

[1426]  A. Root,et al.  Controlled Formation of ZrO2 in the Reaction of ZrCl4 Vapor with Porous Silica and γ-Alumina Surfaces , 1996 .

[1427]  T. Fuyuki,et al.  Atomic layer epitaxy controlled by surface superstructures in SiC , 1993 .

[1428]  Yeon-Gon Mo,et al.  Impact of high-k TiOx dielectric on device performance of indium-gallium-zinc oxide transistors , 2009 .

[1429]  A. Auroux,et al.  Gas-phase synthesis, structure and surface acid–base properties of highly dispersed vanadia/titania/silica catalysts , 2003 .

[1430]  M. Sasaki,et al.  GaAs Atomic Layer Epitaxy Using the KrF Excimer Laser , 1989 .

[1431]  M. Leskelä,et al.  Controlling the crystallinity and roughness of atomic layer deposited titanium dioxide films. , 2011, Journal of nanoscience and nanotechnology.

[1432]  B. Sperling,et al.  Reflection absorption infrared spectroscopy during atomic layer deposition of HfO2 films from tetrakis(ethylmethylamido)hafnium and water , 2010 .

[1433]  Junling Lu,et al.  Surface Acidity and Properties of TiO2/SiO2 Catalysts Prepared by Atomic Layer Deposition: UV−visible Diffuse Reflectance, DRIFTS, and Visible Raman Spectroscopy Studies , 2009 .

[1434]  Mikko Ritala,et al.  Controlled Growth of TaN, Ta3N5, and TaOxNy Thin Films by Atomic Layer Deposition , 1999 .

[1435]  M. Ritala,et al.  Ta2O5- and TiO2-based nanostructures made by atomic layer deposition , 2010, Nanotechnology.

[1436]  M. Steinhart,et al.  Nanoscopic Morphologies in Block Copolymer Nanorods as Templates for Atomic‐Layer Deposition of Semiconductors , 2009 .

[1437]  Hitoshi Tanaka,et al.  Atomic layer epitaxy on (001) GaAs: Real‐time spectroscopy , 1992 .

[1438]  Youngdo Won,et al.  Initial reaction of hafnium oxide deposited by remote plasma atomic layer deposition method , 2005 .

[1439]  E. Guziewicz,et al.  Extra-Low Temperature Growth of ZnO Thin Films by Atomic Layer Deposition , 2008 .

[1440]  Sung-Wook Nam,et al.  Ionic field effect transistors with sub-10 nm multiple nanopores. , 2009, Nano letters.

[1441]  K. Kukli,et al.  A comparative study of the electrical properties of TiO2 films grown by high-pressure reactive sputtering and atomic layer deposition , 2005 .

[1442]  R. Arès,et al.  Time-resolved reflectance difference spectroscopy of InAs growth under alternating flow conditions , 1998 .

[1443]  S. Bent,et al.  Highly stable monolayer resists for atomic layer deposition on germanium and silicon , 2006 .

[1444]  Molecular Layer Epitaxy , 1985 .

[1445]  Y. Tseng,et al.  Direct probe of heterojunction effects upon photoconductive properties of TiO2 nanotubes fabricated by atomic layer deposition , 2010, Nanotechnology.

[1446]  Chromium oxide nanolayers on gallium arsenide , 2006 .

[1447]  H. Sunakawa,et al.  GaAs Atomic Layer Epitaxy by Hydride VPE , 1986 .

[1448]  Jane P. Chang,et al.  The effect of aluminum oxide incorporation on the material and electrical properties of hafnium oxide on Ge , 2008 .

[1449]  T. Suntola,et al.  Preparation of Ni/Al2O3 catalysts from vapor phase by atomic layer epitaxy , 1994 .

[1450]  M. Shen,et al.  Photoluminescence properties of single CdSe quantum dots in ZnSe obtained by self-organized growth , 1998 .

[1451]  C. Hwang,et al.  Characteristics of Amorphous Bi2Ti2O7 Thin Films Grown by Atomic Layer Deposition for Memory Capacitor Applications , 2006 .

[1452]  M. Leskelä,et al.  Materials for electroluminescent thin films , 1987 .

[1453]  Fred Roozeboom,et al.  High‐Speed Spatial Atomic‐Layer Deposition of Aluminum Oxide Layers for Solar Cell Passivation , 2010, Advanced materials.

[1454]  C. Shan,et al.  Ultraviolet photodetector fabricated from atomic-layer-deposited ZnO films , 2009 .

[1455]  R. Narayan,et al.  Atomic layer deposition of TiO2 thin films on nanoporous alumina templates: Medical applications , 2009 .

[1456]  D. Jeong,et al.  Growth Characteristics of Atomic Layer Deposited TiO2 Thin Films on Ru and Si Electrodes for Memory Capacitor Applications , 2005 .

[1457]  K. Kukli,et al.  The Atomic Layer Deposition of HfO2 and ZrO2 using Advanced Metallocene Precursors and H2O as the Oxygen Source , 2008 .

[1458]  B. Lee,et al.  Molecular layer deposition of ZrO2-based organic–inorganic nanohybrid thin films for organic thin film transistors , 2009 .

[1459]  P. Williams,et al.  Atomic layer deposition of HfO2 thin films exploiting novel cyclopentadienyl precursors at high temperatures , 2007 .

[1460]  N. Kobayashi,et al.  Two-Stage Atomic Layer Deposition of Aluminum Oxide on Alkanethiolate Self-Assembled Monolayers Using n-Propanol and Water as Oxygen Sources , 2008 .

[1461]  A. Zauner,et al.  Atomic layer deposition of tantalum nitride based thin films from cyclopentadienyl type precursor , 2010 .

[1462]  S. Ferrari,et al.  X-Ray reflectivity and spectroscopic ellipsometry as metrology tools for the characterization of interfacial layers in high-κ materials , 2003 .

[1463]  Victor M. Bright,et al.  Multilayer coating method for x-ray reflectivity enhancement of polysilicon micro-mirrors at 1.54-Å wavelength , 2005, SPIE MOEMS-MEMS.

[1464]  K. Kukli,et al.  Atomic layer deposition of hafnium dioxide films from 1-methoxy-2-methyl-2-propanolate complex of hafnium , 2003 .

[1465]  M. Schuisky,et al.  Atomic layer growth of epitaxial TiO2 thin films from TiCl4 and H2O on α-Al2O3 substrates , 2002 .

[1466]  J. Myoung,et al.  Growth characteristics and electrical properties of La2O3 gate oxides grown by thermal and plasma-enhanced atomic layer deposition , 2010 .

[1467]  M. Ritala,et al.  Reaction mechanism studies on the zirconium chloride–water atomic layer deposition process , 2002 .

[1468]  Eui-Tae Kim,et al.  PLASMA-ENHANCED ATOMIC LAYER DEPOSITION OF ULTRATHIN Ga2O3-TiO2 GATE DIELECTRICS ON Si (001) Substrates , 2005 .

[1469]  Effects of Al content on the electrical properties of LaxAlyOz films grown on TiN substrate by atomic layer deposition , 2007 .

[1470]  Eduard A. Cartier,et al.  High-resolution depth profiling in ultrathin Al2O3 films on Si , 2000 .

[1471]  Se‐Hun Kwon,et al.  Initial Stages of Ruthenium Film Growth in Plasma-Enhanced Atomic Layer Deposition , 2008 .

[1472]  L. Ragnarsson,et al.  Silicon Orientation Effects in the Atomic Layer Deposition of Hafnium Oxide , 2008 .

[1473]  N. Kwak,et al.  Characteristics of ALD Tungsten Nitride Using B2H6, WF6, and NH3 and Application to Contact Barrier Layer for DRAM , 2007 .

[1474]  Y. Uchida,et al.  Quasi-monolayer deposition of silicon dioxide , 1994 .

[1475]  Sang-Won Kang,et al.  Atomic Layer Deposition of Aluminum Thin Films Using an Alternating Supply of Trimethylaluminum and a Hydrogen Plasma , 2002 .

[1476]  Hsyi-En Cheng,et al.  Substrate Materials and Deposition Temperature Dependent Growth Characteristics and Photocatalytic Properties of ALD TiO2 Films , 2009 .

[1477]  M. Utriainen,et al.  Studies of NiO thin film formation by atomic layer epitaxy , 1998 .

[1478]  M. Schuisky,et al.  Epitaxial growth of TiO2 films in a hydroxyl-free atomic layer deposition process , 2002 .

[1479]  M. Leskelä,et al.  Mobility of sodium in SrS based thin film electroluminescent structures grown by atomic layer epitaxy , 2000 .

[1480]  K. Torii,et al.  Effect of Hf Sources, Oxidizing Agents, and NH3/Ar Plasma on the Properties of HfAlOx Films Prepared by Atomic Layer Deposition , 2004 .

[1481]  Oussama M. El-Kadri,et al.  Atomic layer deposition of tungsten(III) oxide thin films from W2(NMe2)6 and water: precursor-based control of oxidation state in the thin film material. , 2006, Journal of the American Chemical Society.

[1482]  Hannu Hänninen,et al.  Photocatalytic Activity of Atomic Layer Deposited TiO2 Coatings on Austenitic Stainless Steels and Copper Alloys , 2008 .

[1483]  J. Rodriguez-Reyes,et al.  Chemisorption of Tetrakis(dimethylamido)titanium on Si(100)-2 × 1: C−H and C−N Bond Reactivity Leading to Low-Temperature Decomposition Pathways , 2008 .

[1484]  M. Willinger,et al.  The controlled deposition of metal oxides onto carbon nanotubes by atomic layer deposition: examples and a case study on the application of V2O4 coated nanotubes in gas sensing. , 2009, Physical chemistry chemical physics : PCCP.

[1485]  H. Fjellvåg,et al.  Growth of manganese oxide thin films by atomic layer deposition , 2003 .

[1486]  S. George,et al.  Progress and future directions for atomic layer deposition and ALD-based chemistry , 2011 .

[1487]  C. Detavernier,et al.  Diffusion barrier properties of TaNx films prepared by plasma enhanced atomic layer deposition from PDMAT with N2 or NH3 plasma , 2008 .

[1488]  Steven M. George,et al.  Tin Monosulfide Thin Films Grown by Atomic Layer Deposition Using Tin 2,4-Pentanedionate and Hydrogen Sulfide , 2010 .

[1489]  Chi-Sun Hwang,et al.  Ultrathin Film Encapsulation of an OLED by ALD , 2005 .

[1490]  D. King,et al.  Synthesis of Photoactive Magnetic Nanoparticles with Atomic Layer Deposition , 2010 .

[1491]  H. Munekata,et al.  Control of carbon incorporation in AlAs grown by atomic layer epitaxy using variously orientated substrates , 2000 .

[1492]  E. Guziewicz,et al.  ZnTe–ZnO core–shell radial heterostructures grown by the combination of molecular beam epitaxy and atomic layer deposition , 2010, Nanotechnology.

[1493]  ALD growth, thermal treatments and characterisation of Al2O3 layers , 2008 .

[1494]  W. Lanford,et al.  Substrate‐Independent Palladium Atomic Layer Deposition , 2003 .

[1495]  Zhen Liu,et al.  Improved two-dimensional electron gas transport characteristics in AlGaN/GaN metal-insulator-semiconductor high electron mobility transistor with atomic layer-deposited Al2O3 as gate insulator , 2009 .

[1496]  K. Kukli,et al.  Engineering structure and properties of hafnium oxide films by atomic layer deposition temperature , 2005 .

[1497]  Mikko Ritala,et al.  H2S modified atomic layer deposition process for photocatalytic TiO2 thin films , 2007 .

[1498]  Robin H. A. Ras,et al.  Photo-Controlled Wettability Switching by Conformal Coating of Nanoscale Topographies with Ultrathin Oxide Films , 2010 .

[1499]  M. Khan,et al.  GaN/AlN digital alloy short‐period superlattices by switched atomic layer metalorganic chemical vapor deposition , 1993 .

[1500]  J. Ha,et al.  Electrical properties of La2O3 thin films grown on TiN/Si substrates via atomic layer deposition , 2006 .

[1501]  R. Piestun,et al.  Modification of opal photonic crystals using Al2O3 atomic layer deposition , 2006 .

[1502]  M. Konagai,et al.  Atomic layer epitaxy of ZnSe on GaAs(100) by metalorganic molecular beam epitaxy , 1992 .

[1503]  Mikko Ritala,et al.  Atomic layer epitaxy growth of tantalum oxide thin films from Ta(OC{sub 2}H{sub 5}){sub 5} and H{sub 2}O , 1995 .

[1504]  Sang-Joon Park,et al.  Atomic Layer Deposition of Ruthenium and Ruthenium-oxide ThinFilms by Using a Ru(EtCp)$_{2}$ Precursor and Oxygen Gas , 2009 .

[1505]  N. El-Masry,et al.  Growth of device quality GaN at 550 °C by atomic layer epitaxy , 1995 .

[1506]  Seong-Il Kim,et al.  A New Pulse Plasma Enhanced Atomic Layer Deposition of Tungsten Nitride Diffusion Barrier for Copper Interconnect , 2003 .

[1507]  D. C. Johnson,et al.  X-ray reflectivity characterization of ZnO/Al2O3multilayers prepared by atomic layer deposition , 2002 .

[1508]  P. Adamson,et al.  Monitoring of atomic layer deposition by incremental dielectric reflection , 1996 .

[1509]  Arrelaine A. Dameron,et al.  Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition , 2009 .

[1510]  Mikko Heikkilä,et al.  Atomic Layer Deposition of Ta2O5/Polyimide Nanolaminates† , 2009 .

[1511]  Steven M. George,et al.  Electrical characterization of thin Al2O3 films grown by atomic layer deposition on silicon and various metal substrates , 2002 .

[1512]  D. Hariskos,et al.  Stability behaviour of Cd-free Cu(In,Ga)Se2 solar modules with In2S3 buffer layer prepared by atomic layer deposition , 2005 .

[1513]  M. Matsumura,et al.  Atomic-layer chemical-vapor-deposition of silicon dioxide films with an extremely low hydrogen content , 1998 .

[1514]  Jaan Aarik,et al.  Conformity and structure of titanium oxide films grown by atomic layer deposition on silicon substrates , 2008 .

[1515]  D. King,et al.  Quantum confinement in amorphous TiO2 films studied via atomic layer deposition , 2008, Nanotechnology.

[1516]  C. Hwang,et al.  Properties of Aluminum Nitride Thin Films Deposited by an Alternate Injection of Trimethylaluminum and Ammonia under Ultraviolet Radiation , 2006 .

[1517]  Steven M. George,et al.  Surface chemistry and film growth during TiN atomic layer deposition using TDMAT and NH3 , 2003 .

[1518]  C. Hwang,et al.  Low Temperature ( < 100 ° C ) Deposition of Aluminum Oxide Thin Films by ALD with O3 as Oxidant , 2006 .

[1519]  Jaan Aarik,et al.  Phase transformations in hafnium dioxide thin films grown by atomic layer deposition at high temperatures , 2001 .

[1520]  K. Saraswat,et al.  Microstructural evolution of ZrO_2–HfO_2 nanolaminate structures grown by atomic layer deposition , 2004 .

[1521]  H. Fjellvåg,et al.  Effect of magnetic field on the growth of α-Fe2O3 thin films by atomic layer deposition , 2004 .

[1522]  Shiyang Zhu,et al.  Atomic Layer Deposition of HfO2 Using Hf[N(C2H5)2]4 and H2O , 2006 .

[1523]  Raghaw Rai,et al.  Characteristics of atomic-layer-deposited thin HfxZr1−xO2 gate dielectrics , 2007 .

[1524]  M. Ritala,et al.  Diffusion Barrier Properties of Atomic Layer Deposited Ultrathin Ta2O5 and TiO2 Films , 2006 .

[1525]  K. Yong,et al.  Physical and electrical characterizations of ultrathin Si-rich Hf-silicate film and Hf-silicate/SiO2 bilayer deposited by atomic layer chemical vapor deposition , 2006 .

[1526]  T. Fuyuki,et al.  Atomic layer epitaxy of cubic SiC by gas source MBE using surface superstructure , 1989 .

[1527]  Esther Kim,et al.  Atomic Layer Deposition of Hafnium and Zirconium Oxides Using Metal Amide Precursors , 2002 .

[1528]  M. Sung,et al.  Atomic Layer Deposition of Titanium Oxide on Self-Assembled-Monolayer-Coated Gold , 2004 .

[1529]  L. Niinistö,et al.  Growth of gallium oxide thin films from gallium acetylacetonate by atomic layer epitaxy , 1996 .

[1530]  M. Ritala,et al.  Atomic Layer Deposition of Ferroelectric Bismuth Titanate Bi4Ti3O12 Thin Films , 2006 .

[1531]  E. Guziewicz,et al.  Controlling of preferential growth mode of ZnO thin films grown by atomic layer deposition , 2008 .

[1532]  A. P. Alekhin,et al.  Structural properties of the titanium dioxide thin films grown by atomic layer deposition at various numbers of reaction cycles , 2010 .

[1533]  Hyungjun Kim,et al.  Atomic layer deposition of metal and nitride thin films: Current research efforts and applications for semiconductor device processing , 2003 .

[1534]  Y. Chabal,et al.  Atomic layer deposition of aluminum oxide on carboxylic acid-terminated self-assembled monolayers. , 2009, Langmuir : the ACS journal of surfaces and colloids.

[1535]  Hyoun-woo Kim,et al.  Composite nanowires with MgO/ZnO core–sheath structures: Study of thin ZnO shell layers , 2008 .

[1536]  S. Bent,et al.  Atomic layer deposition of ZnS via in situ production of H2S , 2010 .

[1537]  P. Tobin,et al.  Impact of film properties of atomic layer deposited HfO2 resulting from annealing with a TiN capping layer , 2006 .

[1538]  S. Haukka,et al.  Analytical and chemical techniques in the study of surface species in atomic layer epitaxy , 1993 .

[1539]  C. Musgrave,et al.  In-situ infrared spectroscopy and density functional theory modeling of hafnium alkylamine adsorption on Si-OH and Si-H surfaces , 2005 .

[1540]  J. Hartmann,et al.  Structural and optical properties of CdTe/MnTe tilted superlattices grown on vicinal surfaces , 1998 .

[1541]  M. Konagai,et al.  Photo atomic layer deposition of transparent conductive ZnO films , 1997 .

[1542]  Jong-Wan Park,et al.  Characteristics of La$_2$O$_3$ Thin Films Deposited Using the ECR Atomic Layer Deposition Method , 2009 .

[1543]  Yun Chi,et al.  Atomic layer deposition of noble metals: Exploration of the low limit of the deposition temperature , 2004 .

[1544]  T. Uustare,et al.  Comparative study of low-temperature chloride atomic-layer chemical vapor deposition of TiO2 and SnO2 , 2001 .

[1545]  S. Sugahara,et al.  Atomic hydrogen-assisted ALE of germanium , 1995 .

[1546]  Se Stephen Potts,et al.  Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and Challenges , 2011 .

[1547]  P. Dapkus,et al.  Study of surface reactions in atomic layer epitaxy of GaAs using trimethylgallium by reflectance difference spectroscopy and mass spectroscopy , 1993 .

[1548]  I. Yoo,et al.  Atomic-layer deposited IrO2 nanodots for charge-trap flash-memory devices , 2007 .

[1549]  J. Nickerson,et al.  Low temperature oxidation behavior of reactively sputtered TiN by x‐ray photoelectron spectroscopy and contact resistance measurements , 1986 .

[1550]  J. Ha,et al.  5 nm thick lanthanum oxide thin films grown on Si(100) by atomic layer deposition: The effect of post-annealing on the electrical properties , 2006 .

[1551]  Z. G. Khim,et al.  Selective Wet-Chemical Etching of the Barrier Layer during Formation of Porous Anodic Aluminum Oxide Template , 2009 .

[1552]  S. Van den Berghe,et al.  Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide , 2009 .

[1553]  J. Hartmann,et al.  Atomic layer epitaxy of CdTe and MnTe , 1996 .

[1554]  H. Sohn,et al.  Effects of postnitridation annealing on band gap and band offsets of nitrided Hf-silicate films , 2008 .

[1555]  Ilkeun Lee,et al.  Mechanistic details of atomic layer deposition (ALD) processes for metal nitride film growth , 2008 .

[1556]  K. Kukli,et al.  Crystallization in hafnia‐ and zirconia‐based systems , 2004 .

[1557]  Se‐Hun Kwon,et al.  Plasma-Enhanced Atomic Layer Deposition of Ru–TiN Thin Films for Copper Diffusion Barrier Metals , 2006 .

[1558]  Roy G. Gordon,et al.  ALD of Hafnium Oxide Thin Films from Tetrakis(ethylmethylamino)hafnium and Ozone , 2005 .

[1559]  Mikko Söderlund,et al.  Atomic layer deposition of ytterbium oxide using β-diketonate and ozone precursors , 2009 .

[1560]  M. Ritala,et al.  Use of 1,1‐Dimethylhydrazine in the Atomic Layer Deposition of Transition Metal Nitride Thin Films , 2000 .

[1561]  J. Elam,et al.  Atomic layer deposition of Cu2S for future application in photovoltaics , 2009 .

[1562]  M. Ritala,et al.  The growth and diffusion barrier properties of atomic layer deposited NbNx thin films , 2005 .

[1563]  A. Kitai,et al.  Zinc oxysulfide thin films grown by atomic layer deposition , 1992 .

[1564]  M. Ritala,et al.  Electroluminescent SrS and BaS Thin Films Deposited by ALD Using Cyclopentadienyl Precursors , 2004 .

[1565]  H. Morkoç,et al.  A COMPREHENSIVE REVIEW OF ZNO MATERIALS AND DEVICES , 2005 .

[1566]  M. Willinger,et al.  Non-aqueous routes to metal oxide thin films by atomic layer deposition. , 2008, Angewandte Chemie.

[1567]  M. Ritala,et al.  Atomic Layer Deposition of Antimony and its Compounds Using Dechlorosilylation Reactions of Tris(triethylsilyl)antimony , 2011 .

[1568]  Johann W. Bartha,et al.  Atomic Layer Deposition of Titanium Dioxide Thin Films from Cp*Ti(OMe)3 and Ozone , 2009 .

[1569]  W. Kessels,et al.  Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides , 2011 .

[1570]  J. Kwo,et al.  Molecular beam epitaxy grown template for subsequent atomic layer deposition of high κ dielectrics , 2006 .

[1571]  Y. Katayama,et al.  The Mechanism of Self-Limiting Growth of Atomic Layer Epitaxy of GaAs by Metalorganic Molecular Beam Epitaxy Using Trimethylgallium and Arsine , 1989 .

[1572]  J. Ekerdt,et al.  Surface science investigations of atomic layer deposition half-reactions using TaF , 2003 .

[1573]  Miin-Jang Chen,et al.  Enhanced OLED performance upon photolithographic patterning by using an atomic-layer-deposited buffer layer , 2008 .

[1574]  V. Davydov,et al.  Phonon structure of InN grown by atomic layer epitaxy , 1999 .

[1575]  P. McIntyre,et al.  Atomic Layer Deposition of Y2O3 ∕ ZrO2 Nanolaminates A Route to Ultrathin Solid-State Electrolyte Membranes , 2007 .

[1576]  Mikko Ritala,et al.  Chapter 2 – Atomic layer deposition , 2002 .

[1577]  Seunghun Hong,et al.  High Quality Area-Selective Atomic Layer Deposition Co Using Ammonia Gas as a Reactant , 2010 .

[1578]  A. Krause,et al.  A novel Co/SiO2 catalyst for hydrogenation , 1998 .

[1579]  Y. Tolmachev,et al.  Electrochemical Properties of Pt Coatings on Ni Prepared by Atomic Layer Deposition , 2009 .

[1580]  I. Bársony,et al.  Deposition of tin oxide into porous silicon by atomic layer epitaxy , 1996 .

[1581]  Kwang-Leong Choy,et al.  Preferential growth of ZnO thin films by the atomic layer deposition technique , 2008, Nanotechnology.

[1582]  P. Guyot-Sionnest,et al.  Atomic Layer Deposition of ZnO in Quantum Dot Thin Films , 2009 .

[1583]  T. Sajavaara,et al.  Low-temperature ALE deposition of Y2O3 thin films from β-diketonate precursors , 2001 .

[1584]  A. Kohn,et al.  Structures of ultra-thin atomic-layer-deposited TaNx films , 2004 .

[1585]  B. Armstrong,et al.  Atomic layer deposition of hafnium oxide dielectrics on silicon and germanium substrates , 2008 .

[1586]  S. Bedair,et al.  Role of trimethylgallium exposure time in carbon doping and high temperature atomic layer epitaxy of GaAs , 1991 .

[1587]  Ji‐Hoon Ahn,et al.  Step coverage modeling of thin films in atomic layer deposition , 2007 .

[1588]  V. Pereira,et al.  Pressure-induced structural phase transitions in zirconia under high pressure. , 1993, Physical review. B, Condensed matter.

[1589]  E. Guziewicz,et al.  Hybrid Organic/ZnO p-n Junctions with n-Type ZnO Grown by Atomic Layer Deposition , 2008 .

[1590]  Min-Hsiung Hon,et al.  Space-Limited Crystal Growth Mechanism of TiO2 Films by Atomic Layer Deposition , 2010 .

[1591]  S. Kamiyama,et al.  Atomic Layer Deposition of Hafnium Silicate Gate Dielectric Films Using Hf [ N ( CH3 ) ( C2H5 ) ] 4 and SiH [ N ( CH3 ) 2 ] 3 Precursors , 2005 .

[1592]  A. Hallén,et al.  Characterization of HfO2 films deposited on 4H-SiC by atomic layer deposition , 2007 .

[1593]  J. W. Rogers,et al.  Reactions of trimethylaluminum and ammonia on alumina at 600 K — surface chemical aspects of AlN thin film growth , 1995 .

[1594]  Interaction of Titanium Tetrachloride with Products of Thermal Decomposition of Basic Magnesium Carbonate , 2003 .

[1595]  T. Bolom,et al.  Atomic layer deposition of TaSix thin films on SiO2 using TaF5 and Si2H6 , 2005 .

[1596]  Mikko Ritala,et al.  Irradiation effect on dielectric properties of hafnium and gadolinium oxide gate dielectrics , 2009 .

[1597]  S. Nelson,et al.  Oxide Electronics by Spatial Atomic Layer Deposition , 2009, Journal of Display Technology.

[1598]  S. Namba,et al.  Stepwise monolayer growth of GaAs by switched laser metalorganic vapor phase epitaxy , 1986 .

[1599]  A. Tarre,et al.  TiO2 thin films by atomic layer deposition : a case of uneven growth at low temperature , 1998 .

[1600]  S. Wang,et al.  Fabrication and Characteristics of Self-Aligned ZnO Nanotube and Nanorod Arrays on Si Substrates by Atomic Layer Deposition , 2010 .

[1601]  T. Baumann,et al.  Mechanisms of atomic layer deposition on substrates with ultrahigh aspect ratios. , 2008, Langmuir : the ACS journal of surfaces and colloids.

[1602]  Olof Forsén,et al.  Atomic layer deposited thin films for corrosion protection , 1999 .

[1603]  J. Schoonman,et al.  Atomic Layer Deposition of CuxS for Solar Energy Conversion , 2003 .

[1604]  Peide D. Ye,et al.  GaAs metal–oxide–semiconductor field-effect transistor with nanometer-thin dielectric grown by atomic layer deposition , 2003 .

[1605]  K. Kukli,et al.  High-permittivity YScO3 thin films by atomic layer deposition using two precursor approaches , 2006 .

[1606]  M. Ritala,et al.  New Approach to the ALD of Bismuth Silicates; Bi(CH2SiMe3)3 Acting as a Precursor for both Bismuth and Silicon† , 2005 .

[1607]  Chong-Yun Park,et al.  Field emission properties of ZnO nanorods coated with NiO film , 2008 .

[1608]  B. Lee,et al.  Impact of metal gate deposition method on characteristics of gate-first MOSFET with Hf-silicate , 2005 .

[1609]  Sbs Stephan Heil,et al.  In situ spectroscopic ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer deposition , 2006 .

[1610]  A. Satta,et al.  Initial growth mechanism of atomic layer deposited TiN , 2004 .

[1611]  J. Aarik,et al.  Texture development in nanocrystalline hafnium dioxide thin films grown by atomic layer deposition , 2000 .

[1612]  Se‐Hun Kwon,et al.  Phase control of iridium and iridium oxide thin films in atomic layer deposition , 2008 .

[1613]  E. Djurado,et al.  Atomic layer deposition of tantalum oxide thin films for their use as diffusion barriers in microelectronic devices , 2010 .

[1614]  M. Ozeki,et al.  Atomic layer epitaxy of MnAs on GaAs(001) , 2007 .

[1615]  Hannu Kattelus,et al.  Implementing ALD Layers in MEMS Processing , 2007, ECS Transactions.

[1616]  Adriana Szeghalmi,et al.  Tunable Guided‐Mode Resonance Grating Filter , 2010 .

[1617]  M. Ritala,et al.  Atomic Layer Deposition of LaF3 Thin Films using La(thd)3 and TiF4 as Precursors , 2008 .

[1618]  J. Nishizawa,et al.  Surface reaction and selective growth investigation of temperature modulation Si molecular-layer epitaxy , 2001 .

[1619]  U. Chung,et al.  Crystallization behaviour of ALD-Ta2O5 thin films: the application of in-situ TEM , 2005 .

[1620]  S. George,et al.  Optimization and Structural Characterization of W/Al2O3 Nanolaminates Grown Using Atomic Layer Deposition Techniques , 2005 .

[1621]  S. Kim,et al.  Highly entangled hollow TiO2nanoribbons templating diphenylalanine assembly , 2009 .

[1622]  J. Gatineau,et al.  A new RuO4 solvent solution for pure ruthenium film depositions , 2006 .

[1623]  E. Zschech,et al.  In‐Situ Studies of ALD Growth of Hafnium Oxide Films , 2009 .

[1624]  Steven M. George,et al.  Atomic layer deposition of SiO2 at room temperature using NH3-catalyzed sequential surface reactions , 2000 .

[1625]  R. Puurunen Formation of metal oxide particles in atomic layer deposition during the chemisorption of metal chlorides: A review , 2005 .

[1626]  Nicholas A. Melosh,et al.  Creating large area molecular electronic junctions using atomic layer deposition , 2008 .

[1627]  S. George,et al.  Surface chemistry of In2O3 deposition using In(CH3)3 and H2O in a binary reaction sequence , 1997 .

[1628]  C. Park,et al.  Investigation of Silicon Oxide Thin Films Prepared by Atomic Layer Deposition Using SiH2Cl2 and O3 as the Precursors , 2004 .

[1629]  Victor M. Bright,et al.  Alternative dielectric films for rf MEMS capacitive switches deposited using atomic layer deposited Al2O3/ZnO alloys , 2007 .

[1630]  Ki-Young Oh,et al.  Improvement in Al2O3 dielectric behavior by using ozone as an oxidant for the atomic layer deposition technique , 2002 .

[1631]  C. Hwang,et al.  Atomic Layer Deposition of Al2O3 Thin Films from a 1-Methoxy-2-methyl-2-propoxide Complex of Aluminum and Water , 2005 .

[1632]  Hyoungsub Kim,et al.  Improvement of the contact resistance between ITO and pentacene using various metal-oxide interlayers , 2008 .

[1633]  M. Ritala,et al.  Titanium isopropoxide as a precursor for atomic layer deposition: characterization of titanium dioxide growth process , 2000 .

[1634]  J. Damlencourt,et al.  Surface treatment for the atomic layer deposition of HfO2 on silicon , 2005 .

[1635]  Jin-seong Park,et al.  Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films , 2002 .

[1636]  Steven M. George,et al.  Atomic Layer Deposition of SiO2 and TiO2 in Alumina Tubular Membranes: Pore Reduction and Effect of Surface Species on Gas Transport , 2000 .

[1637]  C. Ku,et al.  Epitaxial Growth of m-Plane ZnO Thin Films on (101̅0) Sapphire Substrate by Atomic Layer Deposition with Interrupted Flow , 2010 .

[1638]  Jin-seong Park,et al.  A Chemical Reaction Path Design for the Atomic Layer Deposition of Tantalum Nitride Thin Films , 2006 .

[1639]  R. Arès,et al.  Atomic layer epitaxy of InAs using tertiarybutylarsine , 1995 .

[1640]  L. Lamagna,et al.  O3-based atomic layer deposition of hexagonal La2O3 films on Si"100… and Ge"100… substrates , 2010 .

[1641]  Marco Sampietro,et al.  Atomic layer deposited Al2O3 as a capping layer for polymer based transistors , 2007 .

[1642]  M. Karppinen,et al.  Blocking the lateral film growth at the nanoscale in area-selective atomic layer deposition. , 2008, Journal of the American Chemical Society.

[1643]  D. King,et al.  Atomic Layer Deposition on Bulk Quantities of Surfactant‐Modified Single‐Walled Carbon Nanotubes , 2008 .

[1644]  G. Parsons,et al.  In Situ Analysis of Dopant Incorporation, Activation, and Film Growth during Thin Film ZnO and ZnO:Al Atomic Layer Deposition , 2010 .

[1645]  A. Krause,et al.  IR and NMR Study of the Chemisorption of Ammonia on Trimethylaluminum-Modified Silica , 2000 .

[1646]  Uwe Schroeder,et al.  Physical characterization of thin ALD–Al2O3 films , 2003 .

[1647]  R. Wolters,et al.  Growth Kinetics and Oxidation Mechanism of ALD TiN Thin Films Monitored by In Situ Spectroscopic Ellipsometry , 2011 .

[1648]  Robert P. H. Chang,et al.  Fabrication of inverted opal ZnO photonic crystals by atomic layer deposition , 2005 .

[1649]  T. Sajavaara,et al.  Deposition of yttria-stabilized zirconia thin films by atomic layer epitaxy from β-diketonate and organometallic precursors , 2002 .

[1650]  K. Kukli,et al.  Comparative study on electrical properties of atomic layer deposited high-permittivity materials on silicon substrates , 2005 .

[1651]  Simon D. Elliott,et al.  Ozone-Based Atomic Layer Deposition of Alumina from TMA: Growth, Morphology, and Reaction Mechanism , 2006 .

[1652]  Sangsig Kim,et al.  Al2O3 nanotubes and nanorods fabricated by coating and filling of carbon nanotubes with atomic-layer deposition , 2003 .

[1653]  T. Uustare,et al.  Atomic-layer chemical vapor deposition of SnO2 for gas-sensing applications , 2001 .

[1654]  Seokhoon Kim,et al.  Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures , 2007 .

[1655]  M. Konagai,et al.  Cu(InGa)Se2 Thin-film Solar Cells with High Resistivity ZnO Buffer Layers Deposited by Atomic Layer Deposition , 1999 .

[1656]  Robert M. Wallace,et al.  Conformal Al2O3 dielectric layer deposited by atomic layer deposition for graphene-based nanoelectronics , 2008 .

[1657]  Satoshi Kamiyama,et al.  Improving Threshold Voltage and Device Performance of Gate-First HfSiON /Metal Gate n-MOSFETs by an ALD La2O3 Capping Layer , 2008 .

[1658]  T. Törndahl,et al.  Growth of Copper(I) Nitride by ALD Using Copper(II) Hexafluoroacetylacetonate, Water, and Ammonia as Precursors , 2006 .

[1659]  A. Hårsta,et al.  Nanoepitaxy of SnO2 on α-Al2O3(0 1 2) , 2003 .

[1660]  A. Hikavyy,et al.  Atomic layer deposition of ZnS thin films based on diethyl zinc and hydrogen sulfide , 2002 .

[1661]  Klaus,et al.  Growth of SiO2 at room temperature with the use of catalyzed sequential half-reactions , 1997, Science.

[1662]  Woo-Jin Lee,et al.  Formation of Al2O3–TiO2 bilayer using atomic layer deposition and its application to dynamic random access memory , 2007 .

[1663]  M. Yang,et al.  Optical characterization of CdTe/ZnSe fractional monolayer structures grown by atomic layer epitaxy , 2004 .

[1664]  Jiaqi Huang,et al.  Multi-Directional Growth of Aligned Carbon Nanotubes Over Catalyst Film Prepared by Atomic Layer Deposition , 2010, Nanoscale research letters.

[1665]  K. Kukli,et al.  Atomic Layer Deposition of Hafnium Dioxide Films from Hafnium Tetrakis(ethylmethylamide) and Water , 2002 .

[1666]  D. Byun,et al.  Microstructure of Intrinsic ZnO Thin Film Grown by Using Atomic Layer Deposition , 2008 .

[1667]  M. Leskelä,et al.  Chemical aspects of the ALE process , 1990 .

[1668]  K. Kukli,et al.  Mechanisms of suboxide growth and etching in atomic layer deposition of tantalum oxide from TaCl5 and H2O , 1996 .

[1669]  C. Herrmann,et al.  Multilayer and Functional Coatings on Carbon Nanotubes using Atomic Layer Deposition , 2005 .

[1670]  J. W. Rogers,et al.  Nucleation and growth of AlN : self-limiting reactions and the regeneration of active sites using sequential exposures of trimethylaluminum and ammonia on silica at 600 K , 1993 .

[1671]  C. G. Park,et al.  HfO2 / HfO x N y / HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer , 2009 .

[1672]  Elina Färm,et al.  Selective-area atomic layer deposition with microcontact printed self-assembled octadecyltrichlorosilane monolayers as mask layers , 2008 .

[1673]  G. Parsons,et al.  In situ auger electron spectroscopy study of atomic layer deposition: growth initiation and interface formation reactions during ruthenium ALD on Si-H, SiO2, and HfO2 surfaces. , 2007, Langmuir : the ACS journal of surfaces and colloids.

[1674]  Youngdo Won,et al.  Effect of Nitrogen Incorporation in HfO2 Films Deposited by Plasma-Enhanced Atomic Layer Deposition , 2006 .

[1675]  R. Gordon,et al.  Atomic Layer Deposition of Praseodymium Aluminum Oxide for Electrical Applications , 2006 .

[1676]  S. Oswald,et al.  Copper Oxide Films Grown by Atomic Layer Deposition from Bis(tri-n-butylphosphane)copper(I)acetylacetonate on Ta, TaN, Ru, and SiO2 , 2009 .

[1677]  Structure and Electro-Optical Properties of Thin Films Grown by Alternate Atomic Layer Deposition of ZnO and Al2O3 on the Sapphire Substrate , 2010 .

[1678]  Yangdo Kim,et al.  Characteristics of TiN Films Deposited by Remote Plasma-Enhanced Atomic Layer Deposition Method , 2003 .

[1679]  K. Chung,et al.  Initial nucleation and growth of atomic layer deposited HfO2 gate dielectric layers on Si surfaces with the various surface conditions using in situ medium energy ion scattering analysis , 2007 .

[1680]  J. F. Conley,et al.  Atomic layer deposited high-k nanolaminate capacitors , 2010, DRC 2010.

[1681]  M. Pessa,et al.  Surface morphology of CdTe films grown on CdTe (111) substrates by atomic layer epitaxy , 1985 .

[1682]  S. Rossnagel,et al.  Plasma Modeling of a PEALD System for the Deposition of TiO2 and HfO2 , 2009 .

[1683]  A. Koukitu,et al.  In situ monitoring of the GaAs growth process in halogen transport atomic layer epitaxy , 1996 .

[1684]  S. Ghandhi,et al.  Growth and characterization of CdTe, HgTe and HgCdTe by atomic layer epitaxy , 1993 .

[1685]  F. Mcfeely,et al.  Atomic layer epitaxy of silicon by dichlorosilane studied with core level spectroscopy , 1992 .

[1686]  J. Skarp,et al.  Atomic layer epitaxy growth of doped zinc oxide thin films from organometals , 1994 .

[1687]  M. Ritala,et al.  Surface modification of thermoplastics by atomic layer deposition of Al2O3 and TiO2 thin films , 2008 .

[1688]  M. Ritala,et al.  Effects of intermediate zinc pulses on properties of TiN and NbN films deposited by atomic layer epitaxy , 1997 .

[1689]  Mathew D. Halls,et al.  Detection of a Formate Surface Intermediate in the Atomic Layer Deposition of High-κ Dielectrics Using Ozone , 2008 .

[1690]  Sung Weon Kang,et al.  Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application , 2006 .

[1691]  K. Kukli,et al.  Experimental investigation of the electrical properties of atomic layer deposited hafnium-rich silicate films on n-type silicon , 2006 .

[1692]  C. Park,et al.  Growth studies and characterization of silicon nitride thin films deposited by alternating exposures to Si2Cl6 and NH3 , 2009 .

[1693]  M. Sakuraba,et al.  Atomic layer epitaxy of germanium on silicon using flash heating chemical vapor deposition , 1991 .

[1694]  Y. Shin,et al.  Interface roughness effect between gate oxide and metal gate on dielectric property , 2009 .

[1695]  Jin-seong Park,et al.  Plasma-enhanced ALD of titanium-silicon-nitride using TiCl4, SiH4, and N2/H2/Ar plasma , 2004 .

[1696]  S. Ferrari,et al.  Diffusion reaction of oxygen in HfO2/SiO2/Si stacks. , 2006, The journal of physical chemistry. B.

[1697]  Kenji Aoki,et al.  Silicon molecular layer epitaxy , 1990 .

[1698]  S. George,et al.  Atomic layer deposition of Al2O3 and SiO2 on BN particles using sequential surface reactions , 2000 .

[1699]  C. Sasaoka,et al.  Temperature programmed desorption study of gallium chloride adsorbed on GaAs surfaces , 1991 .

[1700]  H2O - and O3-Based Atomic Layer Deposition of High- κ Dielectric Films on GeO2 Passivation Layers , 2009 .

[1701]  Y. Sakuma,et al.  In situ X-ray photoemission spectroscopy for atomic layer epitaxy of InP and GaAs , 1990 .

[1702]  Salah M. Bedair,et al.  Atomic layer epitaxy of III‐V binary compounds , 1985 .

[1703]  W. Maeng,et al.  Electrical Properties of Atomic Layer Deposition HfO2 and HfO x N y on Si Substrates with Various Crystal Orientations , 2008 .

[1704]  U. Gösele,et al.  Low-temperature ZnO atomic layer deposition on biotemplates: flexible photocatalytic ZnO structures from eggshell membranes. , 2009, Physical chemistry chemical physics : PCCP.

[1705]  E. Graugnard,et al.  High filling fraction gallium phosphide inverse opals by atomic layer deposition , 2006 .

[1706]  Paul R. Chalker,et al.  Permittivity enhancement of hafnium dioxide high-κ films by cerium doping , 2008 .

[1707]  D. Akin,et al.  Capture and alignment of phi29 viral particles in sub-40 nanometer porous alumina membranes , 2009, Biomedical microdevices.

[1708]  C. Sasaoka,et al.  Thermal Desorption of Galliumchloride Adsorbed on GaAs (100) , 1991 .

[1709]  David-Wei Zhang,et al.  Spectroscopic and electrical properties of atomic layer deposition Al2O3 gate dielectric on surface pretreated Si substrate , 2006 .

[1710]  Chueh-Yang Liu,et al.  Atomic layer deposition of platinum nanoparticles on carbon nanotubes for application in proton-exchange membrane fuel cells. , 2009, Small.

[1711]  Seokhoon Kim,et al.  Characteristics of Atomic-Layer-Deposited HfO2 Films by Using a Remote Plasma on Pre-Deposited Hf Metal Layer , 2006 .

[1712]  H. Munekata,et al.  Lattice contraction and electrical conduction of heavily carbon doped AlAs layers grown by atomic layer epitaxy , 2000 .

[1713]  A. Lanzutti,et al.  Atomic layer deposition: state-of-the-art and research/industrial perspectives , 2011 .

[1714]  A. Hårsta,et al.  Atomic layer deposition of titanium dioxide nanostructures using carbon nanosheets as a template , 2009 .

[1715]  M. Hersam,et al.  Integrated ultramicroelectrode-nanopipet probe for concurrent scanning electrochemical microscopy and scanning ion conductance microscopy. , 2010, Analytical chemistry.

[1716]  T. Törndahl,et al.  Epitaxy of copper on α-Al2O3(001) by atomic layer deposition , 2005 .

[1717]  Yasuo Kimura,et al.  Infrared Study of Tris(dimethylamino)silane Adsorption and Ozone Irradiation on Si(100) Surfaces for ALD of SiO2 , 2007 .

[1718]  Sanghun Jeon,et al.  Fabrication of Robust Triple-Ti1-xAlxN Metal Gate by Atomic Layer Deposition , 2010 .

[1719]  Jack C. Lee,et al.  Optimization of precursor pulse time in improving bulk trapping characteristics of atomic-layer-deposition HfO2 gate oxides , 2006 .

[1720]  Impact of deposition processes on properties of atomic-layer-deposited hafnium zirconate high-k dielectrics , 2007 .

[1721]  D. Bousfield,et al.  Formation of a thin TiO2 layer on the surfaces of silica and kaolin pigments through atomic layer deposition , 2003 .

[1722]  R. Brunner,et al.  Flexible replication technique for high-aspect-ratio nanostructures. , 2010, Small.

[1723]  M. Keijser,et al.  Atomic layer epitaxy of gallium arsenide with the use of atomic hydrogen , 1991 .

[1724]  Jeong Hwan Kim,et al.  Improvement of thermal stability and composition changes of atomic layer deposited HfO2 on Si by in situ O3 pretreatment , 2007 .

[1725]  C. Hwang,et al.  High-k properties of atomic-layer-deposited HfO2 films using a nitrogen-containing Hf[N(CH3)2]4 precursor and H2O oxidant , 2003 .

[1726]  M. Guziewicz,et al.  The influence of growth temperature and precursors' doses on electrical parameters of ZnO thin films grown by atomic layer deposition technique , 2009, Microelectron. J..

[1727]  Andre Stesmans,et al.  Low temperature silicon dioxide by thermal atomic layer deposition: Investigation of material properties , 2010 .

[1728]  Mikko Heikkilä,et al.  Atomic layer deposition and characterization of zirconium oxide-erbium oxide nanolaminates , 2010 .

[1729]  Ta-Hung Yang,et al.  Advanced tungsten plug process for beyond nanometer technology , 2008 .

[1730]  M. Pessa,et al.  Growth Mechanism in Atomic Layer Epitaxy (II). A Model of the Growth Process of CdTe on CdTe (111) Substrates , 1986 .

[1731]  M. Leskelä,et al.  Preparation and characterization of phosphorus-doped aluminum oxide thin films , 1998 .

[1732]  C. Hwang,et al.  Atomic Layer Deposition of ZrO2 Thin Films with High Dielectric Constant on TiN Substrates , 2008 .

[1733]  P. Dowben,et al.  Atomic layer deposition of lanthana thin films using high-purity lanthanum amino precursors , 2007 .

[1734]  K. Kukli,et al.  Influence of single and double deposition temperatures on the interface quality of atomic layer deposited Al2O3 dielectric thin films on silicon , 2006 .

[1735]  T. Starr,et al.  Zirconium Doping in Titanium Oxide Photocatalytic Films Prepared by Atomic Layer Deposition , 2007 .

[1736]  H.‐G. Jerschkewitz,et al.  Untersuchungen an katalytisch aktiven Oberflächenverbindungen. II. Zur Existenz unterschiedlicher Vanadium(V)‐oxid‐Oberflächenphasen auf SiO2 und ihre katalytischen Eigenschaften , 1978 .

[1737]  C. A. Parker,et al.  Atomic layer epitaxy of AlxGa1−xAs and device quality GaAs , 1991 .

[1738]  H. Jeon,et al.  Characteristics and compositional variation of TiN films deposited by remote PEALD on contact holes , 2005 .

[1739]  B. Lee,et al.  Monolayer-precision fabrication of mixed-organic–inorganic nanohybrid superlattices for flexible electronic devices , 2008 .

[1740]  Y. Aoyagi,et al.  Surface processes of selective growth by atomic layer epitaxy , 1994 .

[1741]  J. Nishizawa,et al.  Self-limiting growth of InP by alternate trimethylindium and tertiarybutylphosphine supply in ultrahigh vacuum , 1999 .

[1742]  J. Jur,et al.  Atomic layer deposition and abrupt wetting transitions on nonwoven polypropylene and woven cotton fabrics. , 2010, Langmuir : the ACS journal of surfaces and colloids.

[1743]  M. Shiojiri,et al.  Ultraviolet Electroluminescence From n-ZnO–SiO$_{2}$–ZnO Nanocomposite/p-GaN Heterojunction Light-Emitting Diodes at Forward and Reverse Bias , 2008, IEEE Photonics Technology Letters.

[1744]  Gary W. Rubloff,et al.  Real-time observation and optimization of tungsten atomic layer deposition process cycle , 2006 .

[1745]  H. Sunakawa,et al.  InGaP/GaAs single quantum well structure growth on GaAs facet walls by chloride atomic layer epitaxy , 1990 .

[1746]  J. Ahopelto,et al.  Atomic layer epitaxy of III-V compounds in a hydride vapor phase system , 1990 .

[1747]  T. Urisu,et al.  Ge Atomic Layer Epitaxy by Use of Ar Ion Laser Heating , 1989 .

[1748]  Han Gao,et al.  Combining atomic layer deposition with a template-assisted approach to fabricate size-reduced nanowire arrays on substrates and their electrochemical characterization , 2007 .

[1749]  A. A. Yasseri,et al.  Formation and characterization of long-chained alkylsiloxane self-assembled monolayers on atomic-layer-deposited aluminum oxide surfaces , 2006 .

[1750]  Steven M. George,et al.  In situ monitoring of atomic layer controlled pore reduction in alumina tubular membranes using sequential surface reactions , 1998 .

[1751]  Steven M. George,et al.  SiO2 Atomic Layer Deposition Using Tris(dimethylamino)silane and Hydrogen Peroxide Studied by in Situ Transmission FTIR Spectroscopy , 2009 .

[1752]  S. Rhee,et al.  Atomic Layer Deposition of ZrO2 Thin Films Using Dichlorobis[bis‐(trimethylsilyl)amido]zirconium and Water , 2004 .

[1753]  S. Park,et al.  Atomic layer deposition of hafnium oxide and hafnium silicate thin films using liquid precursors and ozone , 2004 .

[1754]  Steven M. George,et al.  Enhanced Stability of LiCoO2 Cathodes in Lithium-Ion Batteries Using Surface Modification by Atomic Layer Deposition , 2010 .

[1755]  C. Shan,et al.  Corrosion resistance of TiO2 films grown on stainless steel by atomic layer deposition , 2008 .

[1756]  M. Putkonen,et al.  Processing of Y2O3 Thin Films by Atomic Layer Deposition from Cyclopentadienyl-Type Compounds and Water as Precursors , 2004 .

[1757]  Chi-Sun Hwang,et al.  Transparent ZnO-TFT Arrays Fabricated by Atomic Layer Deposition , 2008 .

[1758]  Kuo-Yi Yen,et al.  Self-limiting growth of ZnO films on (0 0 0 1) sapphire substrates by atomic layer deposition at low temperatures using diethyl-zinc and nitrous oxide , 2009 .

[1759]  M. Schuisky,et al.  Atomic Layer CVD in the Bi–Ti–O System , 2000 .

[1760]  Si Atomic Layer Epitaxy Based on Si2H6 and Remote He Plasma Bombardment , 1992 .

[1761]  Mikko Ritala,et al.  Atomic Layer Deposition of MgF2 Thin Films Using TaF5 as a Novel Fluorine Source , 2008 .

[1762]  G. Rubloff,et al.  Profile evolution for conformal atomic layer deposition over nanotopography. , 2010, ACS nano.

[1763]  Jane P. Chang,et al.  Electronic structure and band alignment at the HfO2∕4H-SiC interface , 2007 .

[1764]  E. Sleeckx,et al.  Scaling to Sub- 1 nm Equivalent Oxide Thickness with Hafnium Oxide Deposited by Atomic Layer Deposition , 2006 .

[1765]  M. Kaiser,et al.  Spontaneous nanoclustering of ZrO2 in atomic layer deposited LayZr1−yOx thin films , 2008 .

[1766]  Jin-seong Park,et al.  Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti–Si–N thin films , 2006 .

[1767]  K. Kukli,et al.  Effect of preparation conditions on properties of atomic layer deposited TiO2 films in Mo-TiO2-Al stacks , 2006 .

[1768]  G. Jursich,et al.  Controlling interfacial reactions between HfO2 and Si using ultrathin Al2O3 diffusion barrier layer , 2006 .

[1769]  S. Jeon,et al.  Tunable Work-Function Engineering of TiC–TiN Compound by Atomic Layer Deposition for Metal Gate Applications , 2010 .

[1770]  Chih-Chieh Wang,et al.  Photoluminescence of GaQ3 – Al2O3 Core-Shell Nanowires , 2009 .

[1771]  B. Choi,et al.  Luminescent properties of BaMgAl10O17:Eu2+ blue phosphor grown with SiO2 using atomic layer deposition , 2009 .

[1772]  William J. Potscavage,et al.  A hybrid encapsulation method for organic electronics , 2009 .

[1773]  Xianbao Wang,et al.  Large‐Scale Synthesis of Few‐Layered Graphene using CVD , 2009 .

[1774]  Kenkichiro Kobayashi,et al.  Preparation of ZnO Films on Sapphire (0001) Substrates by Alternate Supply of Zinc Acetate and H2O , 1997 .

[1775]  Mikko Heikkilä,et al.  Effect of thickness of ALD grown TiO2 films on photoelectrocatalysis , 2009 .

[1776]  Sang-Won Kang,et al.  Increment of the Dielectric Constant of Ta2 O 5 Thin Films by Retarding Interface Oxide Growth on Si Substrates , 2001 .

[1777]  R. A. Bisengaliev,et al.  Molecular layering of 2D films and superlattices based on II–VI compounds , 1998 .

[1778]  Y. Sakuma,et al.  Comparative study of self‐limiting growth of GaAs using different Ga‐alkyl compounds: (CH3)3Ga, C2H5(CH3)2Ga, and (C2H5)3Ga , 1990 .

[1779]  C. Detavernier,et al.  Ru thin film grown on TaN by plasma enhanced atomic layer deposition , 2009 .

[1780]  C. Hsu Epitaxial growth of II–VI compound semiconductors by atomic layer epitaxy , 1998 .

[1781]  S. Franssila,et al.  Deposited dielectrics on metal thin films using silicon and glass substrates for hot electron-induced electrochemiluminescence , 2010 .

[1782]  Duck-Kyun Choi,et al.  Dielectric relaxation of atomic-layer-deposited HfO2 thin films from 1kHzto5GHz , 2005 .

[1783]  W. Tysoe,et al.  Infrared Spectroscopy of Trimethylaluminum and Dimethylaluminum chloride adsorbed on Alumina , 1994 .

[1784]  A. Aierken,et al.  GaAs surface passivation by plasma-enhanced atomic-layer-deposited aluminum nitride , 2010 .

[1785]  Steven M. George,et al.  Growth of ZnO/Al2O3 Alloy Films Using Atomic Layer Deposition Techniques , 2003 .

[1786]  G. Tallarida,et al.  Atomic-layer deposition of Lu2O3 , 2004 .

[1787]  Soo‐Hyun Kim,et al.  Effects of B2H6 Pretreatment on ALD of W Film Using a Sequential Supply of WF6 and SiH4 , 2005 .

[1788]  Jane P. Chang,et al.  Er coordination in Y2O3 thin films studied by extended x-ray absorption fine structure , 2006 .

[1789]  Martin Moskovits,et al.  Chemical corrosion protection of optical components using atomic layer deposition. , 2009, Applied optics.

[1790]  P. Thompson,et al.  Use of atomic layer epitaxy buffer for the growth of InSb on GaAs by molecular beam epitaxy , 1991 .

[1791]  S. Banerjee,et al.  GaAs metal-oxide-semiconductor capacitors using atomic layer deposition of HfO 2 gate dielectric: Fabrication and characterization , 2007 .

[1792]  J. Yun,et al.  Effect of Post-Treatments on Atomic Layer Deposition of TiN Thin Films Using Tetrakis(dimethylamido)titanium and Ammonia , 2002 .

[1793]  E. Dobročka,et al.  Growth of RuO2 thin films by liquid injection atomic layer deposition , 2010 .

[1794]  R. Gordon,et al.  Vapor Deposition of Metal Oxides and Silicates: Possible Gate Insulators for Future Microelectronics , 2001 .

[1795]  J. Lim,et al.  Analysis of a transient region during the initial stage of atomic layer deposition , 2000 .

[1796]  Soon-Gil Yoon,et al.  Plasma-Enhanced Atomic Layer Deposition of SrTa2 O 6 Thin Films Using Sr [ Ta ( OC 2 H 5 ) 5 ( OC 2 H 4 OCH 3 ) ] 2 as Precursor , 2004 .

[1797]  Joo-Hyung Kim,et al.  Properties including step coverage of TiN thin films prepared by atomic layer deposition , 2003 .

[1798]  S. I. Lee,et al.  Physical and Electrical Properties of Atomic-Layer-Deposited Hf x Zr1 − x O2 with TEMAHf, TEMAZr, and Ozone , 2008 .

[1799]  N. El-Masry,et al.  Atomic layer epitaxy of device quality AlGaAs and AlAs , 1994 .

[1800]  Mark S. Lundstrom,et al.  High-κ dielectrics for advanced carbon-nanotube transistors and logic gates , 2002 .

[1801]  S. Spiga,et al.  Effects of the oxygen precursor on the interface between (100)Si and HfO2 films grown by atomic layer deposition , 2007 .

[1802]  S. Gates,et al.  Atomic layer epitaxy of Si on Ge(100): Direct recoiling studies of film morphology , 1994 .

[1803]  Sang-Won Kang,et al.  Atomic layer deposition of Al2O3 thin films using trimethylaluminum and isopropyl alcohol , 2002 .

[1804]  P. Dapkus,et al.  Growth and characterization of device quality GaAs produced by laser-assisted atomic layer epitaxy using triethylgallium , 1993 .

[1805]  David-Wei Zhang,et al.  Physical and electrical characterization of atomic-layer-deposited Ru nanocrystals embedded into Al2O3 for memory applications , 2008 .

[1806]  M. Aronniemi,et al.  Characterization and gas-sensing behavior of an iron oxide thin film prepared by atomic layer deposition , 2008 .

[1807]  M. Ritala,et al.  Atomic layer deposition of TiO2−xNx thin films for photocatalytic applications , 2006 .

[1808]  M. Halls,et al.  Surface and interface processes during atomic layer deposition of copper on silicon oxide. , 2010, Langmuir : the ACS journal of surfaces and colloids.

[1809]  A. Stesmans,et al.  Interlayer-related paramagnetic defects in stacks of ultrathin layers of SiOx, Al2O3, ZrO2, and HfO2 on (100)Si , 2005 .

[1810]  Phl Peter Notten,et al.  Remote plasma ALD of platinum and platinum oxide films , 2009 .

[1811]  M. Matsumura,et al.  Hydrogen atom assisted ALE of silicon , 1994 .

[1812]  Effect of Alumina Addition on Bi-Ti-Al-O Dielectric Thin Films , 2006 .

[1813]  Seong‐Hyeon Hong,et al.  SnO2 nanotubes fabricated using electrospinning and atomic layer deposition and their gas sensing performance , 2010, Nanotechnology.

[1814]  Jian Wang,et al.  Enhanced nucleation, smoothness and conformality of ultrananocrystalline diamond (UNCD) ultrathin films via tungsten interlayers , 2006 .

[1815]  Shawn-Yu Lin,et al.  High-temperature metal coating for modification of photonic band edge position , 2009 .

[1816]  Mikko Ritala,et al.  Study on Atomic Layer Deposition of Amorphous Rhodium Oxide Thin Films , 2009 .

[1817]  Y. Samson,et al.  Nanoscale surface clustering on CdTe epilayers , 1998 .

[1818]  V. Afanas’ev,et al.  Probing defects at interfaces and interlayers of low-dimensional Si/insulator (HfO2; LaAlO3) structures by electron spin resonance , 2007 .

[1819]  Stacey F. Bent,et al.  Chemistry for Positive Pattern Transfer Using Area‐Selective Atomic Layer Deposition , 2006 .

[1820]  Elina Färm,et al.  Selective-Area Atomic Layer Deposition Using Poly(methyl methacrylate) Films as Mask Layers , 2008 .

[1821]  Zhong Lin Wang,et al.  High-performance pentacene field-effect transistors using Al2O3 gate dielectrics prepared by atomic layer deposition (ALD) , 2007 .

[1822]  M. Utriainen,et al.  Controlled Electrical Conductivity in SnO2 Thin Films by Oxygen or Hydrocarbon Assisted Atomic Layer Epitaxy , 1999 .

[1823]  N. El-Masry,et al.  Atomic layer epitaxy of the Ga-As-In-As superalloy , 1987 .

[1824]  T. Baumann,et al.  Atomic layer deposition for the conformal coating of nanoporous materials , 2005 .

[1825]  J. Gong,et al.  Growth of Wurtzite GaN on (0 0 1) GaAs Substrates at Low Temperature by Atomic Layer Epitaxy , 1998 .

[1826]  C. Park,et al.  Atomic Layer Deposition of Silicon Oxide Thin Films by Alternating Exposures to Si2Cl6 and O3 , 2008 .

[1827]  A. Hårsta,et al.  Atomic Layer Deposition of Ta2O5 Using the TaI5 and O2 Precursor Combination , 2003 .

[1828]  I. Hwang,et al.  Degradation of the Deposition Blocking Layer During Area-Selective Plasma-Enhanced Atomic Layer Deposition of Cobalt , 2010 .

[1829]  K. Kukli,et al.  Atomic Layer Deposition of Tantalum Oxide Thin Films from Iodide Precursor , 2001 .

[1830]  J. Aarik,et al.  Micro-Raman spectroscopy and X-ray diffraction studies of atomic-layer-deposited ZrO2 and HfO2 thin films , 2005 .

[1831]  S. Jeong,et al.  Characteristics of TiN thin films grown by ALD using TiCl4 and NH3 , 2001 .

[1832]  D. Chan,et al.  Process and Material Properties of HfLaO x Prepared by Atomic Layer Deposition , 2008 .

[1833]  G. Park,et al.  Ruthenium Oxide Nanotube Arrays Fabricated by Atomic Layer Deposition Using a Carbon Nanotube Template , 2003 .

[1834]  Junling Lu,et al.  Nano/subnanometer Pd nanoparticles on oxide supports synthesized by AB-type and low-temperature ABC-type atomic layer deposition: growth and morphology. , 2010, Langmuir : the ACS journal of surfaces and colloids.

[1835]  M. Schuisky,et al.  Atomic Layer Chemical Vapor Deposition of TiO2 Low Temperature Epitaxy of Rutile and Anatase , 2000 .

[1836]  Kang L. Wang,et al.  Nanostructure and temperature-dependent photoluminescence of Er-doped Y2O3 thin films for micro-optoelectronic integrated circuits , 2006 .

[1837]  Qing Peng,et al.  Atomic layer deposition for electrochemical energy generation and storage systems , 2012 .

[1838]  J. Roh,et al.  EFFECT OF NITROGEN INCORPORATION ON ELECTRICAL PROPERTIES OF HIGH-K NANOMIXED HfxAlyOz FILM CAPACITORS GROWN ON RU METAL ELECTRODES BY ATOMIC LAYER DEPOSITION , 2005 .

[1839]  P. Li,et al.  Surface Modification of Titania Nanoparticles Using Ultrathin Ceramic Films , 2006 .

[1840]  M. Asif Khan,et al.  Low pressure metalorganic chemical vapor deposition of AIN over sapphire substrates , 1992 .

[1841]  O. Seitz,et al.  Copper-metal deposition on self assembled monolayer for making top contacts in molecular electronic devices. , 2009, Journal of the American Chemical Society.

[1842]  Kornelius Nielsch,et al.  Controlled introduction of diameter modulations in arrayed magnetic iron oxide nanotubes. , 2009, ACS nano.

[1843]  M. Ramón,et al.  Physical and Electrical Characteristics of HfO2 Gate Dielectrics Deposited by ALD and MOCVD , 2005 .

[1844]  Shelby Forrester Nelson,et al.  Stable ZnO thin film transistors by fast open air atomic layer deposition , 2008 .

[1845]  Soon-Gil Yoon,et al.  Very Thin TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition (PEALD) , 2004 .

[1846]  S. George,et al.  Ultrahigh x-ray reflectivity from W∕Al2O3 multilayers fabricated using atomic layer deposition , 2006 .

[1847]  S. Agarwal,et al.  Surface Reaction Mechanisms during Ozone-Based Atomic Layer Deposition of Titanium Dioxide , 2008 .

[1848]  J. Swerts,et al.  Ozone-Based Metal Oxide Atomic Layer Deposition: Impact of N2/O2 Supply Ratio in Ozone Generation , 2010 .

[1849]  S. Kamiyama,et al.  Ultrathin HfO2 Films Treated by Xenon Flash Lamp Annealing for Use as Transistor Gate Dielectric Replacements , 2005 .

[1850]  Mikko Ritala,et al.  Electrical properties of thin zirconium and hafnium oxide high-k gate dielectrics grown by atomic layer deposition from cyclopentadienyl and ozone precursors , 2009 .

[1851]  S. M. Lee,et al.  Substrate dependence on the optical properties of Al2O3 films grown by atomic layer deposition , 1997 .

[1852]  Seokhoon Kim,et al.  Deposition and Plasma Measurements of Zr-Oxide Films with Low Impurity Concentrations by Remote PEALD , 2005 .

[1853]  Alan W. Weimer,et al.  Nanocoating Individual Silica Nanoparticles by Atomic Layer Deposition in a Fluidized Bed Reactor , 2005 .

[1854]  G. Parsons,et al.  Atomic layer deposition and biocompatibility of titanium nitride nano-coatings on cellulose fiber substrates , 2009, Biomedical materials.

[1855]  Chih-Ming Lin,et al.  Enhanced visible photoluminescence from ultrathin ZnO films grown on Si-nanowires by atomic layer deposition , 2010, Nanotechnology.

[1856]  Jinwoo Lee,et al.  Effects of Various Oxidizers on the ZrO2 Thin Films Deposited by Atomic Layer Deposition , 2004 .

[1857]  M. Perego,et al.  Dielectric properties of Er−doped HfO2 (Er∼15%) grown by atomic layer deposition for high-κ gate stacks , 2010 .

[1858]  R. Garvie,et al.  Intrinsic size dependence of the phase transformation temperature in zirconia microcrystals , 1986 .

[1859]  V. Misra,et al.  Electrical characteristics of metal-oxide-semiconductor capacitors on p-GaAs using atomic layer deposition of ultrathin HfAlO gate dielectric , 2008 .

[1860]  Y. S. Kim,et al.  Studies on polycrystalline ZnS thin films grown by atomic layer deposition for electroluminescent applications , 2004 .

[1861]  S. Hsiao,et al.  Enhancement of Photoelectrochemical Properties of ALD TiO2 Films by Light-Trapping Effect , 2010 .

[1862]  R. Lappalainen,et al.  Determination of P/Al ratio in phosphorus-doped aluminium oxide thin films by XRF, RBS and FTIR , 1995 .

[1863]  C. Hwang,et al.  Permittivity Enhanced Atomic Layer Deposited HfO2 Thin Films Manipulated by a Rutile TiO2 Interlayer , 2010 .

[1864]  C. Liang,et al.  Atomic layer deposition of TiO2 on mesoporous silica , 2006 .

[1865]  Ning Li,et al.  Atomic layer deposition of HfO2: Growth initiation study on metallic underlayers , 2010 .

[1866]  Stefan Bengtsson,et al.  Properties of Al2O3-films deposited on silicon by atomic layer epitaxy , 1997 .

[1867]  P. Hurley,et al.  Structural analysis, elemental profiling, and electrical characterization of HfO2 thin films deposited on In0.53Ga0.47As surfaces by atomic layer deposition , 2009 .

[1868]  A. Turkovic Grazing-incidence SAXS/WAXD on nanosized TiO2 films obtained by ALE , 2000 .

[1869]  S. Darling,et al.  Nanoscopic Patterned Materials with Tunable Dimensions via Atomic Layer Deposition on Block Copolymers , 2010, Advanced materials.

[1870]  Jane P. Chang,et al.  Structural properties of epitaxial γ-Al2O3 (111) thin films on 4H-SiC (0001) , 2007 .

[1871]  Mikko Ritala,et al.  Electrical Properties of Atomic-Layer-Deposited Thin Gadolinium Oxide High-k Gate Dielectrics , 2007 .

[1872]  M. Godlewski,et al.  Monocrystalline and Polycrystalline ZnO and ZnMnO Films Grown by Atomic Layer Epitaxy --- Growth and Characterization , 2004 .

[1873]  K. Yong,et al.  Interfacial Properties of Hf-silicate ∕ Si and Hf-silicate ∕ Al2O3 ∕ Si Deposited by Atomic Layer Chemical Vapor Deposition , 2005 .

[1874]  S. Spiga,et al.  Vibrational and electrical properties of hexagonal La2O3 films , 2007 .

[1875]  Steven M. George,et al.  ZnO/Al2O3 nanolaminates fabricated by atomic layer deposition: growth and surface roughness measurements , 2002 .

[1876]  Marianna Kemell,et al.  The preparation of reusable magnetic and photocatalytic composite nanofibers by electrospinning and atomic layer deposition , 2009, Nanotechnology.

[1877]  M. Ozeki,et al.  Carbon incorporation in GaAs layer grown by atomic layer epitaxy , 1988 .

[1878]  Structural and electrical characterization of Al2O3/HfO2/Al2O3 on strained SiGe , 2005 .

[1879]  J. Schoonman,et al.  Atomic layer deposition of CuxS , 2001 .

[1880]  J. Carlsson,et al.  Atomic Layer Deposition of NiO by the Ni(thd)2/H2O Precursor Combination , 2009 .

[1881]  J. Bacsa,et al.  Deposition of ZrO2 and HfO2 thin films by liquid injection MOCVD and ALD using ansa-metallocene zirconium and hafnium precursors , 2008 .

[1882]  E. Vogel,et al.  Half-cycle atomic layer deposition reaction studies of Al2O3 on (NH4)2S passivated GaAs(100) surfaces , 2008 .

[1883]  J. Son,et al.  Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition , 2009 .

[1884]  C. Chang,et al.  Effect of Surface Reduction Treatments of Plasma-Enhanced Atomic Layer Chemical Vapor Deposited TaN x on Adhesion with Copper , 2010 .

[1885]  C. Sasaoka,et al.  Atomic Layer Epitaxy of GaAs Using Solid Arsenic and DEGaCl , 1988 .

[1886]  M. Ritala,et al.  Ruthenium Thin Films Grown by Atomic Layer Deposition , 2003 .

[1887]  Y. Suda,et al.  Si submonolayer and monolayer digital growth operation techniques using Si2H6 as atomically controlled growth nanotechnology , 2003 .

[1888]  J. Aarik,et al.  Raman and Brillouin scattering spectroscopy studies of atomic layer-deposited ZrO(2) and HfO(2) thin films. , 2005, Spectrochimica acta. Part A, Molecular and biomolecular spectroscopy.

[1889]  K. Maex,et al.  Using scaling laws to understand the growth mechanism of atomic layer deposited WNxCy films on methyl-terminated surfaces , 2006 .

[1890]  K. Black,et al.  Liquid injection ALD and MOCVD of lanthanum aluminate using a bimetallic alkoxide precursor , 2006 .

[1891]  B. Choi,et al.  Photo-Induced Atomic Layer Deposition of Tantalum Oxide Thin Films from Ta ( OC 2 H 5 ) 5 and O 2 , 2004 .

[1892]  A. Mondal,et al.  Synthesis of monolithic nanoparticulate ZrO2 in a new polymorph of orthorhombic crystal structure at ambient pressure , 2004 .

[1893]  Phl Peter Notten,et al.  Deposition of TiN and TaN by Remote Plasma ALD for Cu and Li Diffusion Barrier Applications , 2008 .

[1894]  M. Fanciulli,et al.  Structure evolution of atomic layer deposition grown ZrO2 films by deep-ultra-violet Raman and far-infrared spectroscopies , 2003 .

[1895]  Martin M. Frank,et al.  Nucleation and interface formation mechanisms in atomic layer deposition of gate oxides , 2003 .

[1896]  Akira Toriumi,et al.  Impact of Surface Hydrophilicization prior to Atomic Layer Deposition for HfO2/Si Direct-Contact Gate Stacks , 2008 .

[1897]  N. El-Masry,et al.  Ordered GaInP by atomic layer epitaxy , 1991 .

[1898]  J. Aarik,et al.  Influence of structure development on atomic layer deposition of TiO2 thin films , 2001 .

[1899]  C. Hwang,et al.  Chemically Conformal ALD of SrTiO3 Thin Films Using Conventional Metallorganic Precursors , 2005 .

[1900]  J. W. Rogers,et al.  Deposition of AlN at lower temperatures by atmospheric metalorganic chemical vapor deposition using dimethylethylamine alane and ammonia , 1995 .

[1901]  R. Leonelli,et al.  High‐resolution x‐ray diffraction to determine the self‐limiting growth in atomic layer epitaxy of InP and InAs/InP heterostructures , 1993 .

[1902]  Sang-Won Kang,et al.  Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide , 2002 .

[1903]  J. Aarik,et al.  Structural study of TiO2 thin films by micro-Raman spectroscopy , 2006 .

[1904]  J. Carlsson,et al.  Epitaxial NiO(100) and NiO(111) films grown by atomic layer deposition , 2009 .

[1905]  C. Detavernier,et al.  Atomic layer deposition of TiO2 from tetrakis-dimethyl-amido titanium or Ti isopropoxide precursors and H2O , 2007 .

[1906]  C. Hwang,et al.  High dielectric constant TiO2 thin films on a Ru electrode grown at 250 °C by atomic-layer deposition , 2004 .

[1907]  Comparison of the Electrical Properties of High-k Gate Dielectric (HfO2 and Al2O3) Films with Pt or n+-Polycrystalline-Silicon Gate , 2004 .

[1908]  J. Hartmann,et al.  CdTe/MgTe heterostructures: Growth by atomic layer epitaxy and determination of MgTe parameters , 1996 .

[1909]  V. Miikkulainen,et al.  Effect of corona pre-treatment on the performance of gas barrier layers applied by atomic layer deposition onto polymer-coated paperboard , 2010 .

[1910]  J. Hupp,et al.  Radial electron collection in dye-sensitized solar cells. , 2008, Nano letters.

[1911]  A. Krause,et al.  The interaction of cobalt species with alumina on Co/Al2O3 catalysts prepared by atomic layer deposition , 2009 .

[1912]  J. Swerts,et al.  ALD and Parasitic Growth Characteristics of the Tetrakisethylmethylamino Hafnium ( TEMAH ) / H2O Process , 2010 .

[1913]  Mikko Ritala,et al.  Study of bismuth alkoxides as possible precursors for ALD. , 2010, Dalton transactions.

[1914]  Jaan Aarik,et al.  Atomic layer deposition of polycrystalline HfO2 films by the HfI4–O2 precursor combination , 2003 .

[1915]  M. Suvanto,et al.  Bis(tert‐butylimido)‐bis(dialkylamido) Complexes of Molybdenum as Atomic Layer Deposition (ALD) Precursors for Molybdenum Nitride: the Effect of the Alkyl Group , 2008 .

[1916]  L. Sang,et al.  Reduction in threading dislocation densities in AlN epilayer by introducing a pulsed atomic-layer epitaxial buffer layer , 2008 .

[1917]  M. Ritala,et al.  Atomic Layer Deposition of Nanostructured TiO2 Photocatalysts via Template Approach , 2007 .

[1918]  Satoshi Kamiyama,et al.  Comparison between SiO2 films deposited by atomic layer deposition with SiH2[N(CH3)2]2 and SiH[N(CH3)2]3 precursors , 2006 .

[1919]  Steven M. George,et al.  Atomic layer controlled deposition of Al2O3 films using binary reaction sequence chemistry , 1996 .

[1920]  H. Fjellvåg,et al.  Epitaxial growth of cobalt oxide by atomic layer deposition , 2007 .

[1921]  C. Detavernier,et al.  Tailoring nanoporous materials by atomic layer deposition. , 2011, Chemical Society reviews.

[1922]  M. Leskelä,et al.  The effect of gaseous SiCl4 on the ALE growth of CaS, SrS and SrS:Ce , 1994 .

[1923]  D. Lincot,et al.  Two-dimensional recrystallisation processes of nanometric vanadium oxide thin films grown by atomic layer chemical vapor deposition (ALCVD) evidenced by AFM , 2006 .

[1924]  Ming L. Yu A model for the atomic layer epitaxy of GaAs , 1993 .

[1925]  D. Lincot,et al.  Electrical properties of V2O5 thin films obtained by atomic layer deposition (ALD) , 2004 .

[1926]  H. Fjellvåg,et al.  Growth of LaCoO 3 thin films from -diketonate precursors , 1997 .

[1927]  M. Suvanto,et al.  Atomic Layer Deposition of Molybdenum Nitride from Bis(tert-butylimido)-bis(dimethylamido)molybdenum and Ammonia onto Several Types of Substrate Materials with Equal Growth per Cycle , 2007 .

[1928]  Riikka L. Puurunen,et al.  Growth Per Cycle in Atomic Layer Deposition: Real Application Examplesof a Theoretical Model , 2003 .

[1929]  A. Baraban,et al.  Electrical properties of Si-Al2O3 structures grown by ML-ALE , 1994 .

[1931]  M. Utriainen,et al.  Growth of yttrium oxide thin films from β-diketonate precursor , 1994 .

[1932]  Paul R. Chalker,et al.  Deposition of lanthanum zirconium oxide high-κ films by liquid injection atomic layer deposition , 2007 .

[1933]  H. Fjellvåg,et al.  Analytical model for island growth in atomic layer deposition using geometrical principles , 2007 .

[1934]  A. Koukitu,et al.  Low temperature growth of GaAs and AlAs by direct reaction between GaCl3, AlCl3 and AsH3 , 1991 .

[1935]  J. Aarik,et al.  Growth of High-Dielectric-Constant TiO2 Films in Capacitors with RuO2 Electrodes , 2008 .

[1936]  Wolfgang Kowalsky,et al.  Al2O3/ZrO2 Nanolaminates as Ultrahigh Gas‐Diffusion Barriers—A Strategy for Reliable Encapsulation of Organic Electronics , 2009 .

[1937]  Han Gao,et al.  Free-Standing Porous Anodic Alumina Templates for Atomic Layer Deposition of Highly Ordered TiO2 Nanotube Arrays on Various Substrates , 2008 .

[1938]  F. G. McIntosh,et al.  High quality InGaN films by atomic layer epitaxy , 1995 .

[1939]  H. Waard,et al.  Nanochemistry, nanostructure, and electrical properties of Ta2O5 film deposited by atomic layer deposition and plasma-enhanced atomic layer deposition , 2006 .

[1940]  Mato Knez,et al.  Atomic layer deposition on biological macromolecules: metal oxide coating of tobacco mosaic virus and ferritin. , 2006, Nano letters.

[1941]  Seokhoon Kim,et al.  Physical and Electrical Properties of Hafnium–Zirconium–Oxide Films Grown by Atomic Layer Deposition , 2008 .

[1942]  Steven M. George,et al.  Gas diffusion barriers on polymers using Al2O3 atomic layer deposition , 2006 .

[1943]  Wmm Erwin Kessels,et al.  Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy , 2008 .

[1944]  Energy barriers at interfaces of (100)GaAs with atomic layer deposited Al2O3 and HfO2 , 2008 .

[1945]  Norbert Kaiser,et al.  A Novel Atomic Layer Deposition Process for Depositing Metal Fluoride Thin Films , 2007 .

[1946]  R. Törnqvist,et al.  Mass spectrometry study of ZnS atomic layer epitaxy process , 1988 .

[1947]  T. Aaltonen,et al.  Lanthanum titanate and lithium lanthanum titanate thin films grown by atomic layer deposition , 2010 .

[1948]  Seokhoon Kim,et al.  Effects of N2 remote plasma nitridation on the structural and electrical characteristics of the HfO2 gate dielectrics grown using remote plasma atomic layer deposition methods , 2006 .

[1949]  C. Merckling,et al.  Influence of passivating interlayer on Ge/HfO2 and Ge/Al2O3 interface band diagrams , 2008 .

[1950]  K. Kukli,et al.  Effect of selected atomic layer deposition parameters on the structure and dielectric properties of hafnium oxide films , 2004 .

[1951]  Jaegab Lee,et al.  Formation of TiO2 and ZrO2 Nanotubes Using Atomic Layer Deposition with Ultraprecise Control of the Wall Thickness , 2004 .

[1952]  J. Elam,et al.  Thermochemistry of nanoparticles on a substrate: Zinc oxide on amorphous silica , 2008 .

[1953]  J. Elam,et al.  Atomic Layer Deposition of Ir−Pt Alloy Films , 2010 .

[1954]  J. Ekerdt,et al.  Subnanoscale Lanthanum Distribution in Lanthanum-Incorporated Hafnium Oxide Thin Films Grown Using Atomic Layer Deposition , 2010 .

[1955]  K. Saraswat,et al.  Effect of impurities on the fixed charge of nanoscale HfO2 films grown by atomic layer deposition , 2006 .

[1956]  Pekka Soininen,et al.  Perfectly Conformal TiN and Al2O3 Films Deposited by Atomic Layer Deposition , 1999 .

[1957]  Chongmu Lee,et al.  Effects of substrate temperature on the microstructure and photoluminescence properties of ZnO thin films prepared by atomic layer deposition , 2007 .

[1958]  D. Gaillot,et al.  Sacrificial‐Layer Atomic Layer Deposition for Fabrication of Non‐Close‐Packed Inverse‐Opal Photonic Crystals , 2006 .

[1959]  Hyungjun Kim,et al.  Plasma-enhanced atomic layer deposition of tantalum thin films: the growth and film properties , 2003 .

[1960]  W. Besling,et al.  Atomic layer deposition of WxN/TiN and WNxCy/TiN nanolaminates , 2003 .

[1961]  Y. Aoyagi,et al.  Microscopic mechanisms of accurate layer-by-layer growth of β-SiC , 1993 .

[1962]  E. Guziewicz,et al.  Thin films of ZnO and ZnMnO by atomic layer epitaxy , 2005 .

[1963]  C. Detavernier,et al.  Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition , 2010 .

[1964]  S. Kamiyama,et al.  Comparison Between Hf-Silicate Films Deposited by ALD with BDMAS [ SiH2 ( N ( CH3 ) 2 ) 2 ] and TDMAS [ SiH ( N ( CH3 ) 2 ) 3 ] Precursors , 2005 .

[1965]  M. B. Robinson,et al.  Diethylsilane on silicon surfaces: Adsorption and decomposition kinetics , 1992 .

[1966]  K. Saraswat,et al.  Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition , 2001 .

[1967]  Y. J. Lee,et al.  Surface passivation of III-V compound semiconductors using atomic-layer-deposition grown Al2O3 , 2005 .

[1968]  S. George,et al.  Quartz crystal microbalance studies of Al2O3 atomic layer deposition using trimethylaluminum and water at 125 degrees C. , 2010, The journal of physical chemistry. A.

[1969]  C. Brinker,et al.  Nanometer-thick conformal pore sealing of self-assembled mesoporous silica by plasma-assisted atomic layer deposition. , 2006, Journal of the American Chemical Society.

[1970]  K. Saraswat,et al.  Comparative study on electrical and microstructural characteristics of ZrO_2 and HfO_2 grown by atomic layer deposition , 2005 .

[1971]  Jutta Laine-Ylijoki,et al.  Growth of PbS thin films from novel precursors by atomic layer epitaxy , 1994 .

[1972]  T. Tatsumi,et al.  Metal Organic Atomic Layer Deposition of High-k Gate Dielectrics Using Plasma Oxidation , 2003 .

[1973]  U. Gösele,et al.  Synthesis and optical properties of ZnO and carbon nanotube based coaxial heterostructures , 2008 .

[1974]  M. Perego,et al.  Study of the interfaces in resistive switching NiO thin films deposited by both ALD and e-beam coupled with different electrodes (Si, Ni, Pt, W, TiN) , 2008 .

[1975]  C. Hwang,et al.  Structural properties and electronic structure ofHfO2-ZrO2composite films , 2010 .

[1976]  M. Stoker,et al.  Characteristics of Mixed Oxides and Nanolaminates of Atomic Layer Deposited HfO2 – TiO2 Gate Dielectrics , 2006 .

[1977]  Mikko Heikkilä,et al.  Suppression of Forward Electron Injection from Ru(dcbpy)2(NCS)2 to Nanocrystalline TiO2 Film As a Result of an Interfacial Al2O3 Barrier Layer Prepared with Atomic Layer Deposition , 2010 .

[1978]  Jiaping Fan,et al.  Low-Temperature Growth of Thin Films of Al2O3 by Sequential Surface Chemical Reaction of trimethylaluminum and H2O2 , 1991 .

[1979]  Seong-Jun Jeong,et al.  Low Temperature Two-Step Atomic Layer Deposition of Tantalum Nitride for Cu Diffusion Barrier , 2009 .

[1980]  C. Hwang,et al.  Initial growth behavior of a lead oxide thin film on ir substrates by atomic layer deposition , 2007 .

[1981]  K. Chung,et al.  Thickness dependence on crystalline structure and interfacial reactions in HfO2 films on InP (001) grown by atomic layer deposition , 2010 .

[1982]  David C. Cameron,et al.  Adhesion of Ti and TiC Coatings on PMMA Subject to Plasma Treatment: Effect of Intermediate Layers of Al2O3 and TiO2 Deposited by Atomic Layer Deposition , 2009 .

[1983]  Jeong Hwan Kim,et al.  Growth Behavior of Al-Doped TiO2 Thin Films by Atomic Layer Deposition , 2008 .

[1984]  F. Jih,et al.  Growth and characterization of ZnO films on (11-20) sapphire substrates by atomic layer deposition using DEZn and N2O , 2009 .

[1985]  Hyungjun Kim,et al.  ZnO thin films prepared by atomic layer deposition and rf sputtering as an active layer for thin film transistor , 2008 .

[1986]  B. Hintze,et al.  Atomic Layer Deposition of Ta–N-Based Thin Films Using a Tantalum Source , 2010 .

[1987]  Mikko Heikkilä,et al.  Atomic Layer Deposition of High‐k Oxides of the Group 4 Metals for Memory Applications , 2009 .

[1988]  P. Dapkus,et al.  Real‐time study of the reflection high energy electron diffraction specular beam intensity during atomic layer epitaxy of GaAs , 1993 .

[1989]  Influence of SnO2 coating and thermal annealing on the structure and luminescence properties of CuO nanorods , 2010 .

[1990]  Pekka Soininen,et al.  Growth of titanium dioxide thin films by atomic layer epitaxy , 1993 .

[1991]  Sang Bok Lee,et al.  Nanotubular metal-insulator-metal capacitor arrays for energy storage. , 2009, Nature nanotechnology.

[1992]  C. Wiemer,et al.  [(Me3Si)2N]3Lu: Molecular Structure and Use as Lu and Si Source for Atomic Layer Deposition of Lu Silicate Films , 2007 .

[1993]  A. Koukitu,et al.  In Situ Gravimetric Monitoring of the GaAs Growth Process in Atomic Layer Epitaxy , 1991 .

[1994]  M. Ritala,et al.  Ruthenium/aerogel nanocomposites via atomic layer deposition , 2007 .

[1995]  J. Heitmann,et al.  Influence of N2 and NH3 annealing on the nitrogen incorporation and k-value of thin ZrO2 layers , 2009 .

[1996]  K. An,et al.  ALD of Hafnium Dioxide Thin Films Using the New Alkoxide Precursor Hafnium 3‐Methyl‐3‐pentoxide, Hf(mp)4 , 2006 .

[1997]  Jae Hyun Kim,et al.  Low Temperature Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and O2 , 2009 .

[1998]  Hyungjun Kim,et al.  Plasma-Enhanced Atomic Layer Deposition of Cobalt Using Cyclopentadienyl Isopropyl Acetamidinato-Cobalt as a Precursor , 2010 .

[1999]  C. D. Lee,et al.  High purity ZnSe epilayers grown by atmospheric double zone metalorganic atomic layer epitaxy , 1996 .

[2000]  A. Markeev,et al.  Atomic layer deposition of titanium dioxide thin films from tetraethoxytitanium and water , 2010 .

[2001]  A. Rahtu Atomic Layer Deposition of High Permittivity Oxides: Film Growth and In Situ Studies , 2002 .

[2002]  R. Osgood,et al.  An investigation of the surface reaction mechanisms of alternating-grown, ordered atomic layers : CdS on ZnSe(100) , 1999 .

[2003]  K. Kukli,et al.  Atomic layer deposition of calcium oxide and calcium hafnium oxide films using calcium cyclopentadienyl precursor , 2006 .

[2004]  M. Leskelä,et al.  Reactions of bis(cyclopentadienyl)zirconium dichloride with porous silica surface , 2001 .

[2005]  A. Krause,et al.  Characterisation of Co/SiO2 catalysts prepared from Co(acac)3 by gas phase deposition , 2001 .

[2006]  T. Pakkanen,et al.  Heterogenization of racemic ethylenebis(1-indenyl)zirconium dichloride on trimethylaluminum vapor modified silica surface , 2000 .

[2007]  R. Arès,et al.  Growth mechanisms in atomic layer epitaxy of GaAs , 1998 .

[2008]  Do-Joong Lee,et al.  Formation of Ru Nanotubes by Atomic Layer Deposition onto an Anodized Aluminum Oxide Template , 2008 .

[2009]  C. Wolden,et al.  Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc† , 2009 .

[2010]  Elton Graugnard,et al.  TiO2 Inverse Opals Fabricated Using Low‐Temperature Atomic Layer Deposition , 2005 .

[2011]  M. Hersam,et al.  Controlled growth of platinum nanoparticles on strontium titanate nanocubes by atomic layer deposition. , 2009, Small.

[2012]  M. Zacharias,et al.  Influence of Temperature on Evolution of Coaxial ZnO/Al2O3 One-Dimensional Heterostructures : From Core-Shell Nanowires to Spinel Nanotubes and Porous Nanowires , 2008 .

[2013]  S. Strehle,et al.  Top injection reactor tool with in situ spectroscopic ellipsometry for growth and characterization of ALD thin films , 2008 .

[2014]  Hyungjun Kim,et al.  Diffusion barrier properties of transition metal thin films grown by plasma-enhanced atomic-layer deposition , 2002 .

[2015]  D. Lincot,et al.  CD-free Cu(In,Ga)Se2 thin-film solar modules with In2S3 buffer layer by ALCVD , 2003 .

[2016]  M. Fanciulli,et al.  Infrared spectroscopy and X-ray diffraction studies on the crystallographic evolution of La2O3 films upon annealing , 2008 .

[2017]  T. Yao,et al.  Growth process in atomic layer epitaxy of Zn chalcogenide single crystalline films on (100)GaAs , 1986 .

[2018]  Y. Sawada,et al.  Growth of α‐Al2O3 films by molecular layer epitaxy , 1987 .

[2019]  Sbs Stephan Heil,et al.  Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides : a case study for Al2O3 , 2008 .

[2020]  H. Bender,et al.  X-ray photoelectron spectroscopy characterisation of high-k dielectric Al2O3 and HfO2 layers deposited on SiO2/Si surface , 2004 .

[2021]  Ki-Young Oh,et al.  Physical Properties of Highly Conformal TiN Thin films Grown by Atomic Layer Deposition , 2003 .

[2022]  E. Guziewicz,et al.  Transparent and conductive undoped zinc oxide thin films grown by atomic layer deposition , 2010 .

[2023]  I. Baumvol,et al.  Optical band gaps and composition dependence of hafnium–aluminate thin films grown by atomic layer chemical vapor deposition , 2005 .

[2024]  Ji‐Hoon Ahn,et al.  Applicability of Step-Coverage Modeling to TiO2 Thin Films in Atomic Layer Deposition , 2007 .

[2025]  M. Putkonen,et al.  Atomic layer deposition of B2O3 thin films at room temperature , 2006 .

[2026]  A. Hårsta,et al.  Atomic Layer Deposition of Co3O4 Thin Films Using a CoI2/O2 Precursor Combination , 2006 .

[2027]  A. A. Malygin,et al.  Synthesis and characterization of nanosized titanium oxide films on the (0001) α-Al2O3 surface , 1997 .

[2028]  W. Faschinger Atomic layer epitaxy of II-VI quantum wells and superlattices , 1993 .

[2029]  Marc Heyns,et al.  Effective electrical passivation of Ge(100) for high-k gate dielectric layers using germanium oxide , 2007 .

[2030]  T. M. Lee,et al.  Effects of O2 plasma pre-treatment on ZnO thin films grown on polyethersulfone substrates at various deposition temperatures by atomic layer deposition , 2010 .

[2031]  S. Bent,et al.  Investigation of Self-Assembled Monolayer Resists for Hafnium Dioxide Atomic Layer Deposition , 2005 .

[2032]  Byoung-Yong Kim,et al.  Vertical alignment of liquid crystals on a fully oxidized HfO2 surface by ion bombardment , 2009 .

[2033]  M. Ritala,et al.  Atomic Layer Deposition of Iridium Oxide Thin Films from Ir(acac)3 and Ozone , 2008 .

[2034]  M. Ritala,et al.  AFM studies on ZnS thin films grown by atomic layer epitaxy , 1997 .

[2035]  P. Uvdal,et al.  Surface chemistry of HfI4 on Si(100)-(2 x 1) studied by core level photoelectron spectroscopy , 2007 .

[2036]  M. Ritala,et al.  Deposition of copper films by an alternate supply of CuCl and Zn , 1997 .

[2037]  J. Brault,et al.  Atomic Layer Epitaxy of Hexagonal and Cubic GaN Nanostructures , 2001 .

[2038]  M. Yokoyama,et al.  Atomic Layer Epitaxy of ZnS by Low-Pressure Horizontal Metalorganic Chemical Vapor Deposition , 1996 .

[2039]  L. Vanamurthy,et al.  Oxide heterogrowth on ion-exfoliated thin-film complex oxide substrates , 2009 .

[2040]  H. Kattelus,et al.  Atomic Layer Deposition in MEMS Technology , 2010 .

[2041]  G. Rubloff,et al.  TEM-based metrology for HfO2 layers and nanotubes formed in anodic aluminum oxide nanopore structures. , 2008, Small.

[2042]  A. See,et al.  Characterization of HfO2/Si(001) interface with high-resolution Rutherford backscattering spectroscopy , 2004 .

[2043]  T. Baumann,et al.  Atomic layer deposition of ZnO on ultralow-density nanoporous silica aerogel monoliths , 2005 .

[2044]  H. Chung,et al.  TiO2 ∕ Al2O3 ∕ TiO2 Nanolaminated Thin Films for DRAM Capacitor Deposited by Plasma-Enhanced Atomic Layer Deposition , 2008 .

[2045]  S. Bent,et al.  Atomic Layer Deposition of CdS Films , 2010 .

[2046]  C. Park,et al.  Plasma-Enhanced Atomic Layer Deposition of Ni , 2010 .

[2047]  T. Adschiri,et al.  Mass Analysis of Growth of Al2O3 Thin Films from Low‐Temperature Atomic Layer Deposition on Woven Cotton , 2010 .

[2048]  S. Yeom,et al.  Characteristics of Ti -Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl , 2007 .

[2049]  David-Wei Zhang,et al.  Investigation of Thermal Stability of Atomic-Layer-Deposited MgO Thin Films on Si(100) Using X-Ray Photoelectron Spectroscopy , 2010 .

[2050]  C. Hwang,et al.  Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants , 2009 .

[2051]  C. Herrmann,et al.  Biocompatibility of atomic layer-deposited alumina thin films. , 2007, Journal of biomedical materials research. Part A.

[2052]  E. Carter,et al.  First principles study of the surfaces of Zirconia , 1998 .

[2053]  E. Guziewicz,et al.  Magnetic properties of ZnMnO films grown at low temperature by atomic layer deposition , 2006 .

[2054]  S. George,et al.  CO gas sensing by ultrathin tin oxide films grown by atomic layer deposition using transmission FTIR spectroscopy. , 2008, The journal of physical chemistry. A.

[2055]  H. Brongersma,et al.  The growth mechanism of nickel in the preparation of Ni/Al2O3 catalysts studied by LEIS, XPS and catalytic activity , 1994 .

[2056]  G. Jursich,et al.  Structural phase transformation of Y2O3 doped HfO2 films grown on Si using atomic layer deposition , 2009 .

[2057]  F Mumm,et al.  A bio-originated porous template for the fabrication of very long, inorganic nanotubes and nanowires. , 2010, Bioinspiration & biomimetics.

[2058]  C. Park,et al.  Spontaneous formation of vertical magnetic-metal-nanorod arrays during plasma-enhanced atomic layer deposition. , 2008, Small.

[2059]  M. Ritala,et al.  Rapid coating of through-porous substrates by atomic layer deposition , 2006 .

[2060]  Jin-seong Park,et al.  Investigating the TiN Film Quality and Growth Behavior for Plasma-enhanced Atomic Layer Deposition Using TiCl$_4$ and N$_2$/H$_2$/Ar Radicals , 2010 .

[2061]  M. Pessa,et al.  Atomic layer epitaxy of CdTe on the polar (111)A and (111)B surfaces of CdTe substrates , 1984 .

[2062]  Hyoungsub Kim,et al.  Characteristics of atomic layer deposition grown HfO2 films after exposure to plasma treatments , 2007 .

[2063]  W. Lanford,et al.  Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces , 2005 .

[2064]  S. Lee,et al.  Atomic layer deposition of undoped and Al-doped ZnO thin films using the Zn alkoxide precursor methylzinc isopropoxide. , 2008, Journal of nanoscience and nanotechnology.

[2065]  Sun Young Park,et al.  Structure and Disorder in Amorphous Alumina Thin Films: Insights from High-Resolution Solid-State NMR , 2010 .

[2066]  K. Emtsev,et al.  Thermal stability of surface and interface structure of atomic layer deposited Al2O3 on H-terminated silicon , 2007 .

[2067]  P. Sciortino,et al.  High-performance optical retarders based on all-dielectric immersion nanogratings. , 2005, Optics letters.

[2068]  Yen-Teng Ho,et al.  Atomic layer deposition of epitaxial ZnO on GaN and YSZ , 2007 .

[2069]  S. Barry,et al.  Atomic Layer Deposition of Aluminum Oxide Thin Films from a Heteroleptic, Amidinate-Containing Precursor , 2008 .

[2070]  M. Kuittinen,et al.  Thin films of MoN, WN, and perfluorinated silane deposited from dimethylamido precursors as contamination resistant coatings on micro-injection mold inserts , 2008 .

[2071]  ALD for clean energy conversion, utilization, and storage , 2011 .

[2072]  C. Hwang,et al.  Comparison of Properties of an Al2O3 Thin Layers Grown with Remote O2 Plasma, H2O , or O3 as Oxidants in an ALD Process for HfO2 Gate Dielectrics , 2005 .

[2073]  K. Kukli,et al.  Atomic Layer Deposition of Hafnium Dioxide Films from Hafnium Hydroxylamide and Water , 2004 .

[2074]  C. Hwang,et al.  Substrate Dependent Growth Rate of Plasma-Enhanced Atomic Layer Deposition of Titanium Oxide Using N2O Gas , 2010 .

[2075]  E. P. Savinov,et al.  XPS and depth resolved SXES study of HfO2/Si interlayers , 2010 .

[2076]  M. Ritala,et al.  Radical Enhanced Atomic Layer Deposition of Titanium Dioxide , 2007 .

[2077]  D. Murzin,et al.  Liquid-phase hydrogenation of citral for production of citronellol: catalyst selection , 2003 .

[2078]  E. D. Crozier,et al.  An X-ray standing wave study of ultrathin InAs films in GaAs(0 0 1) grown by atomic layer epitaxy , 1998 .

[2079]  Koichi Toyoda,et al.  Titanium oxide/aluminum oxide multilayer reflectors for "water-window" wavelengths , 1997 .

[2080]  G. Jursich,et al.  Annealing behavior of atomic layer deposited hafnium oxide on silicon: Changes at the interface , 2006 .

[2081]  A. Franquet,et al.  Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates , 2009 .

[2082]  J. Son,et al.  Effects of Fluorine Plasma Treatment on the Electronic Structure of Plasma-Enhanced Atomic Layer Deposition HfO2 , 2009 .

[2083]  G. Dalapati,et al.  Electrical and Interfacial Characterization of Atomic Layer Deposited High- $\kappa$ Gate Dielectrics on GaAs for Advanced CMOS Devices , 2007, IEEE Transactions on Electron Devices.

[2084]  K. Kukli,et al.  Atomic layer deposition of oxide thin films with metal alkoxides as oxygen sources , 2000, Science.

[2085]  Han Gao,et al.  Transparent, well-aligned TiO(2) nanotube arrays with controllable dimensions on glass substrates for photocatalytic applications. , 2010, ACS applied materials & interfaces.

[2086]  M. Ritala,et al.  Studies on the morphology of Al2O3 thin films grown by atomic layer epitaxy , 1996 .

[2087]  Yongchan Kim,et al.  Effects of an Al_2O_3 capping layer on La_2O_3 deposited by remote plasma atomic layer deposition , 2010 .

[2088]  Peidong Yang,et al.  ZnO-TiO2 Core-Shell Nanorod/P3HT Solar Cells , 2007 .

[2089]  Wmm Erwin Kessels,et al.  Silicon surface passivation by ultrathin Al2O3 films synthesized by thermal and plasma atomic layer deposition , 2010 .

[2090]  Zinc oxide grown by atomic layer deposition – a material for novel 3D electronics , 2010 .

[2091]  Kornelius Nielsch,et al.  Surface modification and fabrication of 3D nanostructures by atomic layer deposition , 2011 .

[2092]  C. D. Lee,et al.  STRUCTURAL PROPERTIES OF ZNSE ON GAAS GROWN BY ATOMIC LAYER EPITAXY , 1994 .

[2093]  D. Jeong,et al.  Comparison between atomic-layer-deposited HfO2 films using O3 or H2O oxidant and Hf[N(CH3)2]4 precursor , 2004 .

[2094]  A. Yamada,et al.  Polycrystalline Cu(InGa)Se2 Thin-Film Solar Cells with ZnSe Buffer Layers , 1995 .

[2095]  A. Krause,et al.  Dehydrogenation of i-butane on CrOx/Al2O3 catalysts prepared by ALE and impregnation techniques , 2000 .

[2096]  R. Masut,et al.  Atomic layer epitaxy and characterization of InP and InAs/InP heterostructures , 1994 .

[2097]  S. Bent,et al.  Ultralow loading Pt nanocatalysts prepared by atomic layer deposition on carbon aerogels. , 2008, Nano letters.

[2098]  Sheng Xu,et al.  Low Temperature Epitaxial Growth of High Permittivity Rutile TiO 2 on SnO 2 , 2010 .

[2099]  J. Aarik,et al.  Characterization of asymmetric rhombohedral twin in epitaxial α-Cr2O3 thin films by X-ray and electron diffraction , 2007 .

[2100]  Advanced characterization of high-k materials: A nuclear approach , 2002 .

[2101]  P. Mårtensson,et al.  Atomic Layer Epitaxy of Copper on Tantalum , 1997 .

[2102]  David Hellin,et al.  Grazing Incidence-X-ray Fluorescence Spectrometry for the Compositional Analysis of Nanometer-Thin High-κDielectric HfO_2 Layers , 2005, Analytical sciences : the international journal of the Japan Society for Analytical Chemistry.

[2103]  M. Caymax,et al.  Characterisation of ALCVD Al2O3–ZrO2 nanolaminates, link between electrical and structural properties , 2002 .

[2104]  E. Vogel,et al.  Deposition of HfO2 on InAs by atomic-layer deposition , 2009 .

[2105]  J. Schoonman,et al.  Comparison of CuxS films grown by atomic layer deposition and chemical vapor deposition , 2005 .

[2106]  J. Brault,et al.  Atomic-layer epitaxy of GaN quantum wells and quantum dots on (0001) AlN , 2002 .

[2107]  N. El-Masry,et al.  The impact of short exposure times on the ALE self-limiting process: potential mechanisms , 1993 .

[2108]  S. Bent,et al.  Area-Selective Atomic Layer Deposition of Platinum on YSZ Substrates Using Microcontact Printed SAMs , 2007 .

[2109]  H. Yokoyama,et al.  Atomic layer epitaxy of GaAs using nitrogen carrier gas , 1991 .

[2110]  S. Yeom,et al.  Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor , 2009 .

[2111]  M. Putkonen,et al.  Atomic layer deposition of PbZrO3 thin films , 2007 .

[2112]  Hyoun-woo Kim,et al.  Preparation, structure, and photoluminescence properties of Ga2O3/SnO2 coaxial nanowires , 2010 .

[2113]  David-Wei Zhang,et al.  Investigation of atomic-layer-deposited ruthenium nanocrystal growth on SiO2 and Al2O3 films , 2007 .

[2114]  Theodosia Gougousi,et al.  Native oxide consumption during the atomic layer deposition of TiO2 films on GaAs (100) surfaces , 2010 .

[2115]  D. Citrin,et al.  Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching , 2009 .

[2116]  J. R. Günter,et al.  Metastable cubic and tetragonal zirconium dioxide, prepared by thermal oxidation of the dichalcogenides , 1996 .

[2117]  Marianna Kemell,et al.  Atomic layer deposition of iridium thin films by consecutive oxidation and reduction steps , 2009 .

[2118]  Sun-Woo Choi,et al.  Synthesis and Gas Sensing Properties of TiO2–ZnO Core‐Shell Nanofibers , 2009 .

[2119]  Jeong Hwan Kim,et al.  Atomic layer deposition of hafnium oxide from tert-butoxytris(ethylmethylamido)hafnium and ozone: rapid growth, high density and thermal stability , 2008 .

[2120]  H. Fjellvåg,et al.  Thin films of In2O3 by atomic layer deposition using In(acac)3 , 2009 .

[2121]  T. Baumann,et al.  Atomic Layer Deposition of Uniform Metal Coatings on Highly Porous Aerogel Substrates , 2006 .

[2122]  K. Kukli,et al.  High Growth Rate of Erbium Oxide Thin Films in Atomic Layer Deposition from (CpMe)3Er and Water Precursors , 2005 .

[2123]  Sangwoo Lim,et al.  Atomic layer deposition of HfO2 on self-assembled monolayer-passivated Ge surfaces , 2010 .

[2124]  M. Schuisky,et al.  In situ resistivity measurements during the atomic layer deposition of ZnO and W thin films , 2002 .

[2125]  P. Evans,et al.  Toughness and adhesion of atomic layer deposited alumina films on polycarbonate substrates , 2007 .

[2126]  R. Gordon,et al.  Highly Conformal Thin Films of Tungsten Nitride Prepared by Atomic Layer Deposition from a Novel Precursor , 2003 .

[2127]  Juho Perälä,et al.  Low-temperature atomic layer deposition of ZnO thin films: Control of crystallinity and orientation , 2011 .

[2128]  Steven M. George,et al.  Atomic-layer deposition of wear-resistant coatings for microelectromechanical devices , 2003 .

[2129]  A. Dickins,et al.  The dielectric properties of zirconia , 1992 .

[2130]  Y. Aoyagi,et al.  Observation and control of surface morphology of AlP grown by atomic layer epitaxy , 1997 .

[2131]  M. Ritala,et al.  In Situ Quartz Crystal Microbalance and Quadrupole Mass Spectrometry Studies of Atomic Layer Deposition of Aluminum Oxide from Trimethylaluminum and Water , 2001 .

[2132]  M. A. Herman,et al.  Ultrahigh vacuum atomic layer epitaxy of CD1 − xMnxTe layers grown on (100) substrates: reflection mass spectrometry studies , 1997 .

[2133]  Irene J. Hsu Characterization of ALD copper thin films on palladium seed layers for molecular electronics , 2009 .

[2134]  R. Wallace,et al.  Electrical properties of atomic-layer-deposited La2O3 films using a novel La formamidinate precursor and ozone , 2009 .

[2135]  Peng Li,et al.  Atomic layer deposition of TiO2 films on particles in a fluidized bed reactor , 2008 .

[2136]  J. Escrig,et al.  Size effects in ordered arrays of magnetic nanotubes: pick your reversal mode , 2009 .

[2137]  S. Bedair,et al.  Improved uniformity of epitaxial indium‐based compounds by atomic layer epitaxy , 1986 .

[2138]  C. Detavernier,et al.  Modeling the Conformality of Atomic Layer Deposition: The Effect of Sticking Probability , 2009 .

[2139]  Sang-Won Kang,et al.  Metal-organic atomic-layer deposition of titanium-silicon-nitride films , 1999 .

[2140]  H. Sitter,et al.  Observation of RHEED intensity variations during ALE-Growth of CdTe-Epilayers , 1992 .

[2141]  San-Yuan Chen,et al.  Synthesis and optical properties of ZnO-ZnS core-shell nanotube arrays , 2006 .

[2142]  S. Rhee,et al.  Atomic Layer Deposition of Hafnium Silicate Thin Films Using HfCl2 [ N ( SiMe3 ) 2 ] 2 and H 2 O , 2004 .

[2143]  K. Kukli,et al.  Atomic Layer Deposition of Iron Oxide Thin Films and Nanotubes using Ferrocene and Oxygen as Precursors , 2008 .

[2144]  M. Schuisky,et al.  Real time monitoring in atomic layer deposition of TiO2 from TiI4 and H2O-H2O2 , 2000 .

[2145]  Sang-Won Kang,et al.  Interface effect on dielectric constant of HfO2∕Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition , 2007 .

[2146]  Hyeongnam Kim,et al.  High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition , 2006 .

[2147]  R. Wallace,et al.  The effect of graphite surface condition on the composition of Al2O3 by atomic layer deposition , 2010 .

[2148]  R. Puurunen Correlation between the growth-per-cycle and the surface hydroxyl group concentration in the atomic layer deposition of aluminum oxide from trimethylaluminum and water , 2005 .

[2149]  Byung Joon Choi,et al.  Switching Power Reduction in Phase Change Memory Cell Using CVD Ge2Sb2Te5 and Ultrathin TiO2 Films , 2009 .