Fast delay estimation with buffer insertion for through-silicon-via-based 3D interconnects

For successful adoption of through-silicon-via-based 3D ICs, delay estimation techniques of 3D interconnects for early design stages are required. The 3D nets may connect gates/macros placed far apart and through-silicon-vias (TSVs) have large parasitic capacitances. Thus, buffers are inserted to reduce interconnect delay. To make good decisions in early design stages, the estimation of buffered delay should be fast and reasonably accurate. However, there has been no buffered delay estimation work for 3D ICs that considers proper delay models and TSV RC parasitics. In this work, we investigate several analytical delay models for 3D net delay estimation. Then, based on analytical formula and our heuristic algorithm, we propose how to estimate the buffered delay for movable TSV cases and fixed TSV cases. The effectiveness of our delay estimation technique is demonstrated with various 3D nets. Compared with the van Ginneken buffer insertion based delay estimation, our estimation provides solutions about 750 times faster with almost the same estimated delay.

[1]  Chung-Kuan Cheng,et al.  Optimal wire sizing and buffer insertion for low power and a generalized delay model , 1995, ICCAD.

[2]  Yao-Wen Chang,et al.  Obstacle-Avoiding Rectilinear Steiner Tree Construction Based on Spanning Graphs , 2008, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[3]  W. Dehaene,et al.  Electrical Modeling and Characterization of Through Silicon via for Three-Dimensional ICs , 2010, IEEE Transactions on Electron Devices.

[4]  Satoshi Goto,et al.  Buffer planning for 3D ICs , 2009, 2009 IEEE International Symposium on Circuits and Systems.

[5]  L.P.P.P. van Ginneken,et al.  Buffer placement in distributed RC-tree networks for minimal Elmore delay , 1990 .

[6]  W. C. Elmore The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .

[7]  Lawrence T. Pileggi,et al.  Modeling the "Effective capacitance" for the RC interconnect of CMOS gates , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[8]  Charles J. Alpert,et al.  Buffer insertion with accurate gate and interconnect delay computation , 1999, DAC '99.

[9]  P. R. O'Brien,et al.  Modeling the driving-point characteristic of resistive interconnect for accurate delay estimation , 1989, ICCAD 1989.

[10]  Chandramouli V. Kashyap,et al.  A delay metric for RC circuits based on the Weibull distribution , 2002, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[11]  Chandramouli V. Kashyap,et al.  Closed-form expressions for extending step delay and slew metrics to ramp inputs for RC trees , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  Charles J. Alpert,et al.  Wire segmenting for improved buffer insertion , 1997, DAC.

[13]  J.D. Meindl,et al.  Optimal interconnection circuits for VLSI , 1985, IEEE Transactions on Electron Devices.

[14]  Weiping Shi,et al.  Complexity analysis and speedup techniques for optimal buffer insertion with minimum cost , 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

[15]  Sachin S. Sapatnekar,et al.  Accurate estimation of global buffer delay within a floorplan , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..