Content-aware write reduction mechanism of phase-change RAM based Frame Store in H.264 Video codec system

H.264 video codec system requires big capacity of Frame Store (FS) for buffering reference frames. The up-to-date Phase-change Random Access Memory (PRAM) is the promising approach for on-chip caching the reference signals, as PRAM offers the advantages in terms of high density and low leakage power. However, the write endurance problem, that is a PRAM cell can only tolerant limited number of write operations, becomes the main barrier in practical applications. This paper studies the wear reduction techniques of PRAM based FS in H.264 codec system. On the basis of rate-distortion theory, the content oriented selective writing mechanisms are proposed to reduce bit updates in the reference frame buffers. Experiments demonstrate that, for typical video sequences with different frame sizes, our methods averagely achieve more than 30% reduction of bit updates, while introducing around 20% BDBR cost. The power consumption is reduced by 55% on average, and the estimated PRAM lifetime is extended by 61%.

[1]  Onur Mutlu,et al.  Architecting phase change memory as a scalable dram alternative , 2009, ISCA '09.

[2]  Seung-Yun Lee,et al.  A Low Power Phase-Change Random Access Memory using a Data-Comparison Write Scheme , 2007, 2007 IEEE International Symposium on Circuits and Systems.

[3]  A. Oppenheim,et al.  Effects of finite register length in digital filtering and the fast Fourier transform , 1972 .

[4]  Vijayalakshmi Srinivasan,et al.  Scalable high performance main memory system using phase-change memory technology , 2009, ISCA '09.

[5]  T. Berger Rate-Distortion Theory , 2003 .

[6]  Satoshi Goto,et al.  Motion Estimation Optimization for H.264/AVC Using Source Image Edge Features , 2009, IEEE Transactions on Circuits and Systems for Video Technology.

[7]  G. Bjontegaard,et al.  Calculation of Average PSNR Differences between RD-curves , 2001 .

[8]  Yuan Xie,et al.  PCRAMsim: System-level performance, energy, and area modeling for Phase-Change RAM , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[9]  Sunggu Lee,et al.  Optimizing Video Application Design for Phase-Change RAM-Based Main Memory , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[10]  Jun Yang,et al.  A durable and energy efficient main memory using phase change memory technology , 2009, ISCA '09.

[11]  Yuan Xie,et al.  Modeling, Architecture, and Applications for Emerging Memory Technologies , 2011, IEEE Design & Test of Computers.

[12]  F. Bossen,et al.  Common test conditions and software reference configurations , 2010 .

[13]  Naehyuck Chang,et al.  Energy- and endurance-aware design of phase change memory caches , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).