Computational aspects of optical lithography extension by directed self-assembly

EUV insertion timing for High Volume Manufacturing is still an uncertainty due to source power and EUV mask infrastructure limitations. Directed Self Assembly (DSA) processes offer the promise of providing alternative ways to extend optical lithography cost-effectively for use in the 10nm node and beyond. The goal of this paper is to look into the technical prospect of DSA technology, particularly in the computational and DFM area. We have developed a prototype computational patterning toolset in-house to enable an early Design –Technology Co-Optimization to study the feasibility of using DSA in patterning semiconductor devices and circuits. From this toolset we can identify the set of DSA specific design restrictions specific to a DSA process and plan to develop a novel full chip capable computational patterning solution with DSA. We discuss the DSA Computational Lithography (CL) infrastructure using the via and fin layers as examples. Early wafer data is collected from the DSA testmask that was built using these new toolsets. Finally we discuss the DSA ecosystem requirements for enabling DSA lithography and propose how EDA vendors can play a role in making DSA Lithography (DSAL) a full-chip viable technology for multiple process layers.

[1]  Neal Lafferty,et al.  Progress towards the integration of optical proximity correction and directed self-assembly of block copolymers with graphoepitaxy , 2012, Advanced Lithography.

[2]  Wai-kin Li,et al.  Creation of sub-20-nm contact using diblock copolymer on a 300mm wafer for complementary metal oxide semiconductor applications , 2007 .

[3]  Joy Y. Cheng,et al.  Simple and versatile methods to integrate directed self-assembly with optical lithography using a polarity-switched photoresist. , 2010, ACS nano.

[4]  Kris T. Delaney,et al.  Self-consistent field theory of directed self-assembly in laterally confined lamellae-forming diblock copolymers , 2012, Advanced Lithography.

[5]  C. T. Black,et al.  Nanometer-scale pattern registration and alignment by directed diblock copolymer self-assembly , 2004, IEEE Transactions on Nanotechnology.

[6]  Sebastian Engelmann,et al.  Pattern transfer of directed self-assembly (DSA) patterns for CMOS device applications , 2013, Advanced Lithography.

[7]  Joy Cheng,et al.  Self-assembling materials for lithographic patterning: overview, status, and moving forward , 2010, Advanced Lithography.

[8]  Marcus Müller,et al.  Monte carlo simulation of coarse grain polymeric systems. , 2009, Physical review letters.

[9]  P. Nealey,et al.  Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates , 2003, Nature.

[10]  G. Fredrickson,et al.  Defectivity in Laterally Confined Lamella-Forming Diblock Copolymers: Thermodynamic and Kinetic Aspects , 2012 .

[11]  F. M. Schellenberg,et al.  Using phase-mask algorithms to direct self assembly , 2006, SPIE Advanced Lithography.

[12]  William D. Hinsberg,et al.  Self-assembly patterning for sub-15nm half-pitch: a transition from lab to fab , 2011, Advanced Lithography.

[13]  G. Fredrickson The equilibrium theory of inhomogeneous polymers , 2005 .

[14]  F. Bates,et al.  Unifying Weak- and Strong-Segregation Block Copolymer Theories , 1996 .