Compact Current Source Models for Timing Analysis Under Temperature and Body Bias Variations

State-of-the-art timing tools are built around the use of current source models (CSMs), which have proven to be fast and accurate in enabling the analysis of large circuits. As circuits become increasingly exposed to process and temperature variations, there is a strong need to augment these models to account for thermal effects and for the impact of adaptive body biasing, a compensatory technique that is used to overcome on-chip variations. However, a straightforward extension of CSMs to incorporate timing analysis at multiple body biases and temperatures results in unreasonably large characterization tables for each cell. We propose a new approach to compactly capture body bias and temperature effects within a mainstream CSM framework. Our approach features a table reduction method for compaction of tables and a fast and novel waveform sensitivity method for timing evaluation under any body bias and temperature condition. On a 45-nm technology, we demonstrate high accuracy, with mean errors of under 4% in both slew and delay as compared to HSPICE. We show a speedup of over five orders of magnitude over HSPICE and a speedup of about over conventional CSMs.

[1]  Noel Menezes,et al.  A multi-port current source model for multiple-input switching effects in CMOS library cells , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[2]  Lawrence T. Pileggi,et al.  Performance computation for precharacterized CMOS gates with RC loads , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[3]  P.R. O'Brien,et al.  Modeling the driving-point characteristic of resistive interconnect for accurate delay estimation , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[4]  D. F. Wong,et al.  A Fast And Accurate Technique To Optimize Characterization Tables For Logic Synthesis , 1997, Proceedings of the 34th Design Automation Conference.

[5]  Tadahiro Kuroda,et al.  Variable Threshold-Voltage (VT) Scheme , 1996 .

[6]  V. Gerousis Design and modeling challenges for 90 NM and 50 NM , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..

[7]  Vivek De,et al.  Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[8]  Martin D. F. Wong,et al.  Blade and razor: cell and interconnect delay analysis using current-based models , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[9]  Saurabh Dighe,et al.  Adaptive Frequency and Biasing Techniques for Tolerance to Dynamic Temperature-Voltage Variations and Aging , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[10]  Jiang Hu,et al.  Making fast buffer insertion even faster via approximation techniques , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[11]  Peng Li,et al.  A waveform independent gate model for accurate timing analysis , 2005, 2005 International Conference on Computer Design.

[12]  G. Ono,et al.  Temperature referenced supply voltage and forward-body-bias control (TSFC) architecture for minimum power consumption [ubiquitous computing processors] , 2004, Proceedings of the 30th European Solid-State Circuits Conference.

[13]  Sachin S. Sapatnekar,et al.  Body Bias Voltage Computations for Process and Temperature Compensation , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[14]  Ken Tseng,et al.  A robust cell-level crosstalk delay change analysis , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[15]  Noel Menezes,et al.  A nonlinear cell macromodel for digital applications , 2007, ICCAD 2007.

[16]  James Tschanz,et al.  Adaptive circuit techniques to minimize variation impacts on microprocessor performance and power , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[17]  Keith A. Bowman,et al.  Circuit techniques for dynamic variation tolerance , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[18]  Sarma B. K. Vrudhula,et al.  Current source based standard cell model for accurate signal integrity and timing analysis , 2008, 2008 Design, Automation and Test in Europe.

[19]  Yuan Taur,et al.  Fundamentals of Modern VLSI Devices , 1998 .

[20]  Sachin S. Sapatnekar,et al.  Thermally-Aware Design , 2008 .

[21]  Massoud Pedram,et al.  A Current Source Model for CMOS Logic Cells Considering Multiple Input Switching and Stack Effect , 2008, 2008 Design, Automation and Test in Europe.

[22]  Noel Menezes,et al.  A “true” electrical cell model for timing, noise, and power grid verification , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[23]  Murat R. Becer,et al.  Transistor level gate modeling for accurate and fast timing, noise, and power analysis , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[24]  T. Fujita,et al.  A 0.9 V 150 MHz 10 mW 4 mm/sup 2/ 2-D discrete cosine transform core processor with variable-threshold-voltage scheme , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.