Poet: A Power Efficient Hybrid Optical NoC Topology for Heterogeneous CPU-GPU Systems

Heterogeneous multi-core is an effective method to realize high performance computing. To integrate CPU and GPU via Network on Chip (NoC), taking advantages of concurrent communication and scalability, the expensive conventional off-chip data transmission can be avoided. The electric NoC (ENoC) has the advantage of low latency and low power consumption in the local short-distance communication, however, the hop to hop communication mechanism in traditional electric NoC causes higher power consumption and latency. Optical NoC (ONoC) provides an alternative to traditional interconnection scheme to reduce communication latency but the static power consumption of ONoC increases nonlinearly with the increase in number of interconnected nodes. So it is vital to design a hybrid electrical-optical NoC (HNoC) to reduce latency and power consumption taking advantages of both electrical and optical interconnections. We propose Poet, a HNoC architecture for heterogeneous system, which is used to alleviate the bandwidth bottleneck caused by data-intensive communication in intelligent applications and reduce static power consumption in order to adapt to the different communication demands. Poet uses modified Reservation-based Single Write Multiple Reader (R-SWMR) optical bus for long distance communication and low latency data communication. Use electric layer for short distance communication reduced number of microrings. Experimental results depict that power consumption has been reduced by 12.5% in comparison to heterogeneous architecture called Sharp and the latency has been reduced 76.61% compared to the E-Mesh under the same scale architecture of uniform random traffic.

[1]  方娟,et al.  Exploring Heterogeneous NoC Design Space in Heterogeneous GPU-CPU Architectures , 2015 .

[2]  David A. Wood,et al.  Heterogeneous system coherence for integrated CPU-GPU systems , 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[3]  Haoran Li,et al.  JADE: a Heterogeneous Multiprocessor System Simulation Platform Using Recorded and Statistical Application Models , 2016, AISTECS '16.

[4]  Makoto Motoyoshi,et al.  Through-Silicon Via (TSV) , 2009, Proceedings of the IEEE.

[5]  Chen Sun,et al.  DSENT - A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

[6]  Ning Wu,et al.  Review of Photonic and Hybrid On Chip Interconnects for MPSoCs in IoT Paradigm , 2018, 2018 21st Saudi Computer Society National Computer Conference (NCC).

[7]  P. Kapur,et al.  Power comparison between high-speed electrical and optical interconnects for interchip communication , 2004, Journal of Lightwave Technology.

[8]  Ahmed Louri,et al.  Extending the Power-Efficiency and Performance of Photonic Interconnects for Heterogeneous Multicores with Machine Learning , 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

[9]  Yu Zhang,et al.  Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.

[10]  Chita R. Das,et al.  OSCAR: Orchestrating STT-RAM cache traffic for heterogeneous CPU-GPU architectures , 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[11]  Radu Marculescu,et al.  On-Chip Communication Network for Efficient Training of Deep Convolutional Networks on Heterogeneous Manycore Systems , 2017, IEEE Transactions on Computers.

[12]  Pingqiang Zhou,et al.  An orchestrated NoC prioritization mechanism for heterogeneous CPU-GPU systems , 2019, Integr..

[13]  John Kim,et al.  FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.