Energy-Efficient Operation of Multicore Processors by DVFS, Task Migration, and Active Cooling

Energy efficiency has taken center stage in all aspects of computing, regardless of whether it is performed on a portable battery-powered device, a desktop PC, on servers in a data center, or on a supercomputer. It is expressed as performance-per-watt (PPW), which is equal to the number of instructions that are executed per Joule of energy. The shift to multicore processors, with tens or hundreds of cores on a single die requires that the operation of the cores be dynamically controlled to maximize the processor's overall energy efficiency. This paper presents a unified formulation and an efficient solution for this problem. The solution considers dynamic frequency and voltage scaling, thread migration, and active cooling as the means to control the cores. The solution method is efficient for a real-time implementation. The formulation includes accurate power and thermal models, temperature constraints, and accounts for the dependence of leakage power and circuit delay on temperature. The PPW metric is extended to Pα PW (performanceα-per-watt), which allows examining the tradeoffs between optimizing for performance versus optimizing for energy by varying . Simulation experiments assuming a four-core processor demonstrate that the derived control strategy can achieve 3.2× greater energy efficiency (i.e., executes more than three times the number of instructions per Joule) over the performance-optimal solution. The formulation and the efficiency of the solution method also allows for fast design space exploration. Specifically, it is shown how simply increasing the number of cores in a processor can significantly diminish its energy efficiency, and that there is an optimal number of cores that maximize the PPW. This number depends on the ratio of how much the power of an individual core is reduced by scaling, i.e., as the number of cores are increased. Finally, the proposed method is implemented on a quad-core Intel Sandy Bridge processor, and verified by running benchmarks. The experiments suggest that the proposed method results in an improvement of 37 percent over the current state-of-the-art energy-efficient schemes.

[1]  Stephen P. Boyd,et al.  Temperature-aware processor frequency assignment for MPSoCs using convex optimization , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[2]  Karam S. Chatha,et al.  Thermal aware task sequencing on embedded processors , 2010, Design Automation Conference.

[3]  Sarma B. K. Vrudhula,et al.  Reliability-aware thermal management for hard real-time applications on multi-core processors , 2011, 2011 Design, Automation & Test in Europe.

[4]  Sarma B. K. Vrudhula,et al.  Throughput optimal task allocation under thermal constraints for multi-core processors , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[5]  Sarma B. K. Vrudhula,et al.  Performance optimal processor throttling under thermal constraints , 2007, CASES '07.

[6]  Massoud Pedram,et al.  Minimizing the power consumption of a Chip Multiprocessor under an average throughput constraint , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[7]  Avi Mendelson,et al.  On Estimating Optimal Performance of CPU Dynamic Thermal Management , 2003, IEEE Computer Architecture Letters.

[8]  Sarma B. K. Vrudhula,et al.  Fast and Accurate Prediction of the Steady-State Throughput of Multicore Processors Under Thermal Constraints , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Sarma B. K. Vrudhula,et al.  Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[10]  Lei He,et al.  Temperature and supply Voltage aware performance and power modeling at microarchitecture level , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[11]  Shekhar Y. Borkar,et al.  Thousand Core ChipsA Technology Perspective , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[12]  Kenneth Steiglitz,et al.  Combinatorial Optimization: Algorithms and Complexity , 1981 .

[13]  Tajana Simunic,et al.  Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[14]  James Laudon,et al.  Performance/Watt: the new server focus , 2005, CARN.

[15]  J. Munkres ALGORITHMS FOR THE ASSIGNMENT AND TRANSIORTATION tROBLEMS* , 1957 .

[16]  Xiaobo Sharon Hu,et al.  Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs , 2011, IEEE Trans. Very Large Scale Integr. Syst..

[17]  T. N. Vijaykumar,et al.  Heat-and-run: leveraging SMT and CMP to manage power density through the operating system , 2004, ASPLOS XI.

[18]  Pradip Bose,et al.  Optimizing pipelines for power and performance , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..

[19]  Kevin Skadron,et al.  An Improved Block-Based Thermal Model in HotSpot 4.0 with Granularity Considerations , 2007 .

[20]  Tulika Mitra,et al.  Temperature aware task sequencing and voltage scaling , 2008, ICCAD 2008.

[21]  Naehyuck Chang,et al.  Energy-Optimal Dynamic Thermal Management: Computation and Cooling Power Co-Optimization , 2010, IEEE Transactions on Industrial Informatics.

[22]  Xiaobo Sharon Hu,et al.  Online work maximization under a peak temperature constraint , 2009, ISLPED.

[23]  Sarma B. K. Vrudhula,et al.  Performance Optimal Online DVFS and Task Migration Techniques for Thermally Constrained Multi-Core Processors , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Ravishankar Rao Fast and accurate techniques for early design space exploration and dynamic thermal management of multi-core processors , 2008 .

[25]  Trevor Mudge,et al.  MiBench: A free, commercially representative embedded benchmark suite , 2001 .

[26]  Luca Benini,et al.  Thermal Balancing Policy for Streaming Computing on Multiprocessor Architectures , 2008, 2008 Design, Automation and Test in Europe.